Program Affiliations


  • Stanford SystemX Alliance

All Publications


  • Rethinking Error Injection for Effective Resilience Mirkhani, S., Cho, H., Mitra, S., Abraham, J. 2014
  • Sensor-to-Digital Interface Built Entirely with Carbon Nanotube FETs IEEE Journal on Solid-State Circuits, Special Issue on IEEE Intl. Solid-State Circuits Conf. Shulaker, M., Van Rethy, J., Hills, G., Wei, H., Chen, H., Gielen, G., Mitra, S. 2014
  • QED Post-Silicon Validation and Debug: Frequently Asked Questions Lin, D., Mitra, S. 2014
  • System-Level Benchmarking with Yield-Enhanced Standard Cell Library for Carbon Nanotube VLSI Circuits ACM Journal on Emerging Technologies in Computing Systems Bobba, S., Zhang, J., Gaillardon, P., E., Wong, H., S.P., Mitra, S., De Micheli, G. 2014
  • Combinational Logic Design Using Six-Terminal NEM Relays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Lee, D., Lee, W. S., Chen, C., Fallah, F., Provine, J., Chong, S., Watkins, J., Howe, R. T., Wong, H. P., Mitra, S. 2013; 32 (5): 653-666
  • Monolithic Three-Dimensional Integration of Carbon Nanotube FET Complementary Logic Circuits Wei, H., Shulaker, M., Wong, H., S.P., Mitra, S. 2013
  • Carbon Nanotube Computer Nature (Cover Feature) Shulaker, M., Hills, G., Patil, N., Wei, H., Chen, H., Gielen, G., Mitra, S. 2013; 501 (7468)
  • Detection of Early-Life Failures in High-K Metal-Gate Transistors and Ultra Low-K Inter-Metal Dielectrics Kim, Y., M., Seomun, J., Kim, H., O., Do, K., T., Choi, J., Y., Kim, K., S., Mitra, S. 2013
  • Rapid Exploration of Processing and Design Guidelines to Overcome Carbon Nanotube Variations Hills, G., Shulaker, M., Zhang, J., Wong, H., S.P., Mitra, S. 2013
  • Self-Repair of Uncore Components in Robust System-on-Chips: An OpenSPARC T2 Case Study Li, Y., Cheng, E., Makar, S., Mitra, S. 2013
  • Sascha: The Stanford Carbon Nanotube Controlled Handshaking Robot Shulaker, M., Van Rethy, J., Hills, G., Chen, H., Gielen, G., Wong, H., S.P., Mitra, S. 2013
  • Early-Life Failure Detection using SAT-Based ATPG Sauer, M., Kim, Y., M., Seomun, J., Kim, H., O., Do, K., T., Choi, J., Y., Mitra, S. 2013
  • Carbon Nanotube Circuits: Opportunities and Challenges Wei, H., Shulaker, M., Hills, G., Chen, H., Li, C., Liyanage, L., Mitra, S. 2013
  • Reliability of Graphene Interconnects and N-type Doping of Carbon Nanotube Transistors Liyanage, L., S., Chen, X., Wei, H., Chen, H., Y., Mitra, S., Wong, H., S.P. 2013
  • Underdesigned and Opportunistic Computing Keynote paper, IEEE Trans. CAD Gupta, P., Srivastava, M., Agarwal, Y., Swanson, S., Sylvester, D., Kumar, R., Mitra, S. 2013
  • Effective Post-Silicon Validation Mitra, S. 2013
  • Quantitative Evaluation of Soft Error Injection Techniques for Robust System Design Cho, H., Mirkhani, S., Cher, C., Y., Abraham, J., A., Mitra, S. 2013
  • Experimental Demonstration of a Fully Digital Capacitive Sensor Interface Built Entirely using Carbon Nanotube FETs Shulaker, M., Van Rethy, J., Hills, G., Chen, H., Gielen, G., Wong, H., S.P., Mitra, S. 2013
  • Overcoming Post-Silicon Validation Challenges through Quick Error Detection (QED) Lin, D., Hong, T., Li, Y., Fallah, F., Gardner, D., S., Hakim, N., Mitra, S. 2013
  • Mechanistic and structural insight into the functional dichotomy between IL-2 and IL-15 NATURE IMMUNOLOGY Ring, A. M., Lin, J., Feng, D., Mitra, S., Rickert, M., Bowman, G. R., Pande, V. S., Li, P., Moraga, I., Spolski, R., Oezkan, E., Leonard, W. J., Garcia, K. C. 2012; 13 (12): 1187-?

    Abstract

    Interleukin 15 (IL-15) and IL-2 have distinct immunological functions even though both signal through the receptor subunit IL-2Rβ and the common γ-chain (γ(c)). Here we found that in the structure of the IL-15-IL-15Rα-IL-2Rβ-γ(c) quaternary complex, IL-15 binds to IL-2Rβ and γ(c) in a heterodimer nearly indistinguishable from that of the IL-2-IL-2Rα-IL-2Rβ-γ(c) complex, despite their different receptor-binding chemistries. IL-15Rα substantially increased the affinity of IL-15 for IL-2Rβ, and this allostery was required for IL-15 trans signaling. Consistent with their identical IL-2Rβ-γ(c) dimer geometries, IL-2 and IL-15 showed similar signaling properties in lymphocytes, with any differences resulting from disparate receptor affinities. Thus, IL-15 and IL-2 induced similar signals, and the cytokine specificity of IL-2Rα versus IL-15Rα determined cellular responsiveness. Our results provide new insights for the development of specific immunotherapeutics based on IL-15 or IL-2.

    View details for DOI 10.1038/ni.2449

    View details for Web of Science ID 000311217900012

    View details for PubMedCentralID PMC3501574

  • GLIOBLASTOMA CELLS EXPRESSING EGFRVIII ARE MORE SENSITIVE TO CK2 INHIBITION 17th Annual Scientific Meeting and Education Day of the Society-for-Neuro-Oncology (SNO) Nitta, R., Mitra, S., Bui, T., Li, G. OXFORD UNIV PRESS INC. 2012: 7–8
  • Expression of epidermal growth factor variant III (EGFRvIII) in pediatric diffuse intrinsic pontine gliomas JOURNAL OF NEURO-ONCOLOGY Li, G., Mitra, S. S., Monje, M., Henrich, K. N., Bangs, C. D., Nitta, R. T., Wong, A. J. 2012; 108 (3): 395-402

    Abstract

    Despite numerous clinical trials over the past 2 decades, the overall survival for children diagnosed with diffuse intrinsic pontine glioma (DIPG) remains 9-10 months. Radiation therapy is the only treatment with proven effect and novel therapies are needed. Epidermal growth factor receptor variant III (EGFRvIII) is the most common variant of the epidermal growth factor receptor and is expressed in many tumor types but is rarely found in normal tissue. A peptide vaccine targeting EGFRvIII is currently undergoing investigation in phase 3 clinical trials for the treatment of newly diagnosed glioblastoma (GBM), the tumor in which this variant receptor was first discovered. In this study, we evaluated EGFRvIII expression in pediatric DIPG samples using immunohistochemistry with a double affinity purified antibody raised against the EGFRvIII peptide. Staining of pediatric DIPG histological samples revealed expression in 4 of 9 cases and the pattern of staining was consistent with what has been seen in EGFRvIII transfected cells as well as GBMs from adult trials. In addition, analysis of tumor samples collected immediately post mortem and of DIPG cells in culture by RT-PCR, western blot analysis, and flow cytometry confirmed EGFRvIII expression. We were therefore able to detect EGFRvIII expression in 6 of 11 DIPG cases. These data suggest that EGFRvIII warrants investigation as a target for these deadly pediatric tumors.

    View details for DOI 10.1007/s11060-012-0842-3

    View details for Web of Science ID 000305123800007

    View details for PubMedID 22382786

    View details for PubMedCentralID PMC3368992

  • Flexible Control of Block Copolymer Directed Self-Assembly using Small, Topographical Templates: Potential Lithography Solution for Integrated Circuit Contact Hole Patterning ADVANCED MATERIALS Yi, H., Bao, X., Zhang, J., Bencher, C., Chang, L., Chen, X., Tiberio, R., Conway, J., Dai, H., Chen, Y., Mitra, S., Wong, H. P. 2012; 24 (23): 3107-3114

    View details for DOI 10.1002/adma.201200265

    View details for Web of Science ID 000305121100015

    View details for PubMedID 22550028

  • HEDGEHOG PATHWAY INHIBITION ERADICATES THE TUMOR-INITIATING CELL POPULATION IN DIPG, BUT DOES NOT PROLONG SURVIVAL 15th International Symposium on Pediatric Neuro-Oncology (ISPNO) Monje, M., Freret, M., Mitra, S., Mallick, S., Kim, J., Beachy, P. OXFORD UNIV PRESS INC. 2012: 28–28
  • The CD47-signal regulatory protein alpha (SIRPa) interaction is a therapeutic target for human solid tumors PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA Willingham, S. B., Volkmer, J., Gentles, A. J., Sahoo, D., Dalerba, P., Mitra, S. S., Wang, J., Contreras-Trujillo, H., Martin, R., Cohen, J. D., Lovelace, P., Scheeren, F. A., Chao, M. P., Weiskopf, K., Tang, C., Volkmer, A. K., Naik, T. J., Storm, T. A., Mosley, A. R., Edris, B., Schmid, S. M., Sun, C. K., Chua, M., Murillo, O., Rajendran, P., Cha, A. C., Chin, R. K., Kim, D., Adorno, M., Raveh, T., Tseng, D., Jaiswal, S., Enger, P. O., Steinberg, G. K., Li, G., So, S. K., Majeti, R., Harsh, G. R., van de Rijn, M., Teng, N. N., Sunwoo, J. B., Alizadeh, A. A., Clarke, M. F., Weissman, I. L. 2012; 109 (17): 6662-6667

    Abstract

    CD47, a "don't eat me" signal for phagocytic cells, is expressed on the surface of all human solid tumor cells. Analysis of patient tumor and matched adjacent normal (nontumor) tissue revealed that CD47 is overexpressed on cancer cells. CD47 mRNA expression levels correlated with a decreased probability of survival for multiple types of cancer. CD47 is a ligand for SIRPα, a protein expressed on macrophages and dendritic cells. In vitro, blockade of CD47 signaling using targeted monoclonal antibodies enabled macrophage phagocytosis of tumor cells that were otherwise protected. Administration of anti-CD47 antibodies inhibited tumor growth in orthotopic immunodeficient mouse xenotransplantation models established with patient tumor cells and increased the survival of the mice over time. Anti-CD47 antibody therapy initiated on larger tumors inhibited tumor growth and prevented or treated metastasis, but initiation of the therapy on smaller tumors was potentially curative. The safety and efficacy of targeting CD47 was further tested and validated in immune competent hosts using an orthotopic mouse breast cancer model. These results suggest all human solid tumor cells require CD47 expression to suppress phagocytic innate immune surveillance and elimination. These data, taken together with similar findings with other human neoplasms, show that CD47 is a commonly expressed molecule on all cancers, its function to block phagocytosis is known, and blockade of its function leads to tumor cell phagocytosis and elimination. CD47 is therefore a validated target for cancer therapies.

    View details for DOI 10.1073/pnas.1121623109

    View details for PubMedID 22451913

  • Single-Tube Characterization Methodology for Experimental and Analytical Evaluation of Carbon Nanotube Synthesis JAPANESE JOURNAL OF APPLIED PHYSICS Chen, H., Lin, A., Liyanage, L. S., Beasley, C., Patil, N., Wei, H., Mitra, S., Wong, H. P. 2012; 51 (4)
  • Control of intra-oesophageal pH in patients with Barrett's oesophagus on omeprazole-sodium bicarbonate therapy ALIMENTARY PHARMACOLOGY & THERAPEUTICS Gerson, L. B., Mitra, S., Bleker, W. F., Yeung, P. 2012; 35 (7): 803-809

    Abstract

    Approximately 30-40% of patients with Barrett's oesophagus (BE) patients manifest abnormal oesophageal pH profiles despite proton pump inhibitor (PPI) therapy.To determine control of oesophageal reflux using Bravo pH monitoring in patients BE on omeprazole-sodium bicarbonate oral suspension powder (Ome-NaBic) 40 mg twice daily.Initial pH monitoring off PPI for 1 week was performed. All patients underwent repeat pH testing on Ome-NaBic administered before breakfast and at bedtime after 21-28 days of therapy depending upon the prior PPI therapy. The goal was to enroll 30 subjects, however, the trial was terminated prematurely when the sponsor lost financing due to a change in business strategy.A total of 88 patients responded to the study invitation, 27 patients signed informed consent, and 21 patients underwent pH testing of PPI. A total of 15 patients completed the protocol (13 men, 2 women). Demographic information for patients completing at least one Bravo study included a mean (±s.d.) age 62 ± 9 years; body mass index 31 ± 8 kg/m(2) (range 23-48); mean BE length of 2.6 ± 2 cm; 9 (43%) patients with long segment BE. All (100%) patients demonstrated normalisation of supine pH on both days of Ome-NaBic therapy. One patient (6%) demonstrated abnormal upright reflux on the second day of monitoring on Ome-NaBic therapy; all the other patients demonstrated normal pH scores on therapy.Administration of twice daily Ome-NaBic demonstrated control of nocturnal oesophageal reflux in 100% of patients with Barrett's oesophagus, and complete control of oesophageal pH during 97% of the 24-h recording periods.

    View details for DOI 10.1111/j.1365-2036.2012.05016.x

    View details for Web of Science ID 000301227400006

    View details for PubMedID 22356659

  • ERSA: Error Resilient System Architecture for Probabilistic Applications IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Cho, H., Leem, L., Mitra, S. 2012; 31 (4): 546-558
  • Robust Digital VLSI using Carbon Nanotubes IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Zhang, J., Lin, A., Patil, N., Wei, H., Wei, L., Wong, H. P., Mitra, S. 2012; 31 (4): 453-471
  • Heterogeneous reallocation of presynaptic efficacy in recurrent excitatory circuits adapting to inactivity. Nature neuroscience Mitra, A., Mitra, S. S., Tsien, R. W. 2012; 15 (2): 250-257

    Abstract

    Recurrent excitatory circuits face extreme challenges in balancing efficacy and stability. We recorded from CA3 pyramidal neuron pairs in rat hippocampal slice cultures to characterize synaptic and circuit-level changes in recurrent synapses resulting from long-term inactivity. Chronic tetrodotoxin treatment greatly reduced the percentage of connected CA3-CA3 neurons, but enhanced the strength of the remaining connections; presynaptic release probability sharply increased, whereas quantal size was unaltered. Connectivity was decreased in activity-deprived circuits by functional silencing of synapses, whereas three-dimensional anatomical analysis revealed no change in spine or bouton density or aggregate dendrite length. The silencing arose from enhanced Cdk5 activity and could be reverted by acute Cdk5 inhibition with roscovitine. Our results suggest that recurrent circuits adapt to chronic inactivity by reallocating presynaptic weights heterogeneously, strengthening certain connections while silencing others. This restricts synaptic output and input, preserving signaling efficacy among a subset of neuronal ensembles while protecting network stability.

    View details for DOI 10.1038/nn.3004

    View details for PubMedID 22179109

    View details for PubMedCentralID PMC3558750

  • Probabilistic Analysis of Gallager B Faulty Decoder IEEE International Conference on Communications (ICC) Yazdi, S. M., Cho, H., Sun, Y., Mitra, S., Dolecek, L. IEEE. 2012
  • Contact-Hole Patterning for Random Logic Circuits using Block Copolymer Directed Self-Assembly Yi, H., Bao, X., Zhang, J., Tiberio, R., Conway, J., Chang, L., Mitra, S. 2012
  • Cooling Three-Dimesnional Integrated Circuits using Power Delivery Networks Wei, H., Wu, T., Sekar, D., Cronquist, B., Pease, F., Mitra, S. 2012
  • The Device-to-System Spectrum -- A Tutorial on IC Design with Nanomaterials IEEE/ACM Design Automation and Test in Europe Chen, D., Mitra, S., Pop, E., Shanbhag, N. 2012
  • Nano-Electro-Mechanical Relays for FPGA Routing: Experimental Demonstration and a Design Technique Chen, C., Lee, W., S., Parsa, R., Chong, S., Provine, J., Watt, J., Mitra, S. 2012
  • Quick Detection of Difficult Bugs for Effective Post-Silicon Validation 49th ACM/EDAC/IEEE Design Automation Conference (DAC) Lin, D., Hong, T., Fallah, F., Hakim, N., Mitra, S. IEEE. 2012: 561–566
  • Bug Localization Techniques for Effective Post-Silicon Validation 17th Asia and South Pacific Design Automation Conference (ASP-DAC) Mitra, S., Lin, D., Hakim, N., Gardner, D. IEEE. 2012: 291–291
  • Nano-Electro-Mechanical (NEM) Relays and their Application to FPGA Routing 17th Asia and South Pacific Design Automation Conference (ASP-DAC) Chen, C., Lee, S., Provine, J., Chong, S., Parsa, R., Lee, D., Howe, R. T., Wong, H. P., Mitra, S. IEEE. 2012: 639–639
  • Integration of Nanoelectromechanical Relays With Silicon nMOS IEEE TRANSACTIONS ON ELECTRON DEVICES Chong, S., Lee, B., Mitra, S., Howe, R. T., Wong, H. P. 2012; 59 (1): 255-258
  • Wafer-Scale Fabrication and Characterization of Thin-Film Transistors with Polythiophene-Sorted Semiconducting Carbon Nanotube Networks ACS NANO Liyanage, L. S., Lee, H., Patil, N., Park, S., Mitra, S., Bao, Z., Wong, H. P. 2012; 6 (1): 451-458

    Abstract

    Semiconducting single-walled carbon nanotubes (SWCNTs) have great potential of becoming the channel material for future thin-film transistor technology. However, an effective sorting technique is needed to obtain high-quality semiconducting SWCNTs for optimal device performance. In our previous work, we reported a dispersion technique for semiconducting SWCNTs that relies on regioregular poly(3-dodecylthiophene) (rr-P3DDT) to form hybrid nanostructures. In this study, we demonstrate the scalability of those sorted CNT composite structures to form arrays of TFTs using standard lithographic techniques. The robustness of these CNT nanostructures was tested with Raman spectroscopy and atomic force microscope images. Important trends in device properties were extracted by means of electrical measurements for different CNT concentrations and channel lengths (L(c)). A statistical study provided an average mobility of 1 cm(2)/V·s and I(on)/I(off) as high as 10(6) for short channel lengths (L(c) = 1.5 μm) with 100% yield. This highlights the effectiveness of this sorting technique and its scalability for large-scale, flexible, and transparent display applications.

    View details for DOI 10.1021/nn203771u

    View details for PubMedID 22148677

  • Contact Hole Patterning for Random Logic Circuits using Block Copolymer Directed Self-Assembly Conference on Alternative Lithographic Technologies IV Yi, H., Bao, X., Zhang, J., Tiberio, R., Conway, J., Chang, L., Mitra, S., Wong, H. P. SPIE-INT SOC OPTICAL ENGINEERING. 2012

    View details for DOI 10.1117/12.912804

    View details for Web of Science ID 000304816600019

  • Directional Limits on Persistent Gravitational Waves Using LIGO S5 Science Data PHYSICAL REVIEW LETTERS Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Accadia, T., Acernese, F., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G. S., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonucci, F., Arain, M. A., Araya, M. C., Aronsson, M., Arun, K. G., Aso, Y., Aston, S. M., Astone, P., Atkinson, D., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballmer, S., Barker, D., Barnum, S., Barone, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Bauer, T. S., Behnke, B., Beker, M. G., Belletoile, A., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bigotta, S., Bilenko, I. A., Billingsley, G., Birch, J., Birindelli, S., Biswas, R., Bitossi, M., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Blom, M., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bonnand, R., Bork, R., Born, M., Bose, S., Bosi, L., Bouhou, B., Boyle, M., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bulten, H. J., Buonanno, A., Burguet-Castell, J., Burmeister, O., Buskulic, D., Buy, C., Byer, R. L., Cadonati, L., Cagnoli, G., Cain, J., Calloni, E., Camp, J. B., Campagna, E., Campsie, P., Cannizzo, J., Cannon, K., Canuel, B., Cao, J., Capano, C., Carbognani, F., Caride, S., Caudill, S., Cavaglia, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chalermsongsak, T., Chalkley, E., Charlton, P., Chassande-Mottin, E., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Corsi, A., Costa, C. A., Coulon, J., Coward, D. M., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., Dannenberg, R., D'Antonio, S., Danzmann, K., Das, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Davis, A., Daw, E. J., Day, R., Dayanga, T., De Rosa, R., DeBra, D., Degallaix, J., del Prete, M., Dergachev, V., DeRosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Di Palma, I., Emilio, M. d., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Drago, M., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Engel, R., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Fotopoulos, N., Fournier, J., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Galimberti, M., Gammaitoni, L., Garofoli, J. A., Garufi, F., Gemme, G., Genin, E., Gennai, A., Gholami, I., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Gorodetsky, M. L., Gossler, S., Gouaty, R., Graef, C., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greverie, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayau, J., Hayler, T., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A. W., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E. J., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J. B., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khazanov, E. A., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Leroy, N., Letendre, N., Li, J., Li, T. G., Liguori, N., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lorenzini, M., Loriette, V., Lormand, M., Losurdo, G., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A. D., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majorana, E., Mak, C., Maksimovic, I., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Morioka, T., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., Mow-Lowry, C. M., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishizawa, A., Nocera, F., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palladino, L., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Pareja, M., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietka, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturo, M., Puppo, P., Quetschke, V., Raab, F. J., Rabeling, D. S., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Roever, C., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., ROSINSKA, D., Rowan, S., Ruediger, A., Ruggi, P., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R. M., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., Shaddock, D. A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Sperandio, L., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A. S., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., Szokoly, G. P., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Thuering, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torre, O., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Trummer, J., Tseng, K., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vajente, G., Vallisneri, M., van den Brand, J. F., Van den Broeck, C., van der Putten, S., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A. E., Vinet, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Was, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., White, D., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2011; 107 (27)

    Abstract

    The gravitational-wave (GW) sky may include nearby pointlike sources as well as stochastic backgrounds. We perform two directional searches for persistent GWs using data from the LIGO S5 science run: one optimized for pointlike sources and one for arbitrary extended sources. Finding no evidence to support the detection of GWs, we present 90% confidence level (C.L.) upper-limit maps of GW strain power with typical values between 2-20×10(-50)  strain(2) Hz(-1) and 5-35×10(-49)  strain(2) Hz(-1) sr(-1) for pointlike and extended sources, respectively. The latter result is the first of its kind. We also set 90% C.L. limits on the narrow-band root-mean-square GW strain from interesting targets including Sco X-1, SN 1987A and the Galactic center as low as ≈7×10(-25) in the most sensitive frequency range near 160 Hz.

    View details for DOI 10.1103/PhysRevLett.107.271102

    View details for Web of Science ID 000298611000007

    View details for PubMedID 22243300

  • Planck early results. XXII. The submillimetre properties of a sample of Galactic cold clumps ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Boulanger, F., Bucher, M., Burigana, C., Cabella, P., Cantalupo, C. M., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davies, R. D., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dickinson, C., DOI, Y., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Falgarone, E., Finelli, F., Forni, O., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Ikeda, N., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kitamura, Y., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Leroy, C., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Malinen, J., Mandolesi, N., Mann, R., Maris, M., Marshall, D. J., Martin, P., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., McGehee, P., Melchiorri, A., Mendes, L., Mennella, A., Meny, C., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Nati, F., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pagani, L., Pajot, F., Paladini, R., Pasian, F., Patanchon, G., Pelkonen, V., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Prunet, S., Puget, J., Reach, W. T., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Scott, D., Seiffert, M. D., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Toth, V., Tristram, M., Tuovinen, J., Umana, G., Valenziano, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Ysard, N., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. X. Statistical analysis of Sunyaev-Zeldovich scaling relations for X-ray galaxy clusters ASTRONOMY & ASTROPHYSICS Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartelmann, M., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Brown, M. L., Bucher, M., Burigana, C., Cabella, P., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chiang, L., Chiang, C., Chon, G., Christensen, P. R., Churazov, E., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Da Silva, A., Dahle, H., Danese, L., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Diego, J. M., Dolag, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Finelli, F., Flores-Cacho, I., Forni, O., Frailis, M., Franceschi, E., Fromenteau, S., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Piffaretti, R., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Schaefer, B. M., Scott, D., Seiffert, M. D., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sunyaev, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Tristram, M., Tuovinen, J., Valenziano, L., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wandelt, B. D., White, S. D., White, M., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XXIII. The first all-sky survey of Galactic cold clumps ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Boulanger, F., Bucher, M., Burigana, C., Cabella, P., Cantalupo, C. M., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chiang, L., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davies, R. D., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dickinson, C., Dobashi, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Falgarone, E., Finelli, F., Forni, O., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Joncas, G., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Leroy, C., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Mandolesi, N., Mann, R., Maris, M., Marshall, D. J., Martin, P., Martinez-Gonzalez, E., Marton, G., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., McGehee, P., Melchiorri, A., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Nati, F., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pajot, F., Paladini, R., Pasian, F., Patanchon, G., Pearson, T. J., Pelkonen, V., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Prunet, S., Puget, J., Reach, W. T., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Scott, D., Seiffert, M. D., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Toth, V., Tristram, M., Tuovinen, J., Umana, G., Valenziano, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Ysard, N., Yvon, D., Zacchei, A., Zahorecz, S., Zonca, A. 2011; 536
  • Planck early results. I. The Planck mission ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Baker, M., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Bennett, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Bradshaw, T., Bremer, M., Bucher, M., Burigana, C., Butler, R. C., Cabella, P., Cantalupo, C. M., Cappellini, B., Cardoso, J., Carr, R., Casale, M., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Charra, J., Chary, R., Chiang, L., Chiang, C., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Crone, G., Crook, M., Cuttaia, F., Danese, L., D'Arcangelo, O., Davies, R. D., Davis, R. J., de Bernardis, P., de Bruin, J., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dick, J., Dickinson, C., Dolag, K., Dole, H., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Eriksen, H. K., Finelli, F., Foley, S., Forni, O., Fosalba, P., Frailis, M., Franceschi, E., Freschi, M., Gaier, T. C., Galeotta, S., Gallegos, J., Gandolfo, B., Ganga, K., Giard, M., Giardino, G., Gienger, G., Giraud-Heraud, Y., Gonzalez, J., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Guyot, G., Haissinski, J., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hornstrup, A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jagemann, T., Jones, W. C., Juillet, J. J., Juvela, M., Kangaslahti, P., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Krassenburg, M., Kurki-Suonio, H., Lagache, G., Lahteenmaki, A., Lamarre, J., Lange, A. E., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leahy, J. P., Leonardi, R., Leroy, C., Lilje, P. B., Linden-Vornle, M., Lopez-Caniego, M., Lowe, S., LUBIN, P. M., Macias-Perez, J. F., MACIASZEK, T., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Martinez-Gonzalez, E., Masi, S., Massardi, M., Matarrese, S., Matthai, F., Mazzotta, P., McDonald, A., McGehee, P., Meinhold, P. R., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mevi, C., Miniscalco, R., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Morisset, N., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., O'Dwyer, I. J., Ortiz, I., Osborne, S., Osuna, P., Oxborrow, C. A., Pajot, F., Paladini, R., Partridge, B., Pasian, F., Passvogel, T., Patanchon, G., Pearson, D., Pearson, T. J., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Plaszczynski, S., Platania, P., Pointecouteau, E., Polenta, G., Ponthieu, N., Popa, L., Poutanen, T., Prezeau, G., Prunet, S., Puget, J., Rachen, J. P., Reach, W. T., Rebolo, R., Reinecke, M., Reix, J., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Salerno, E., Sandri, M., Santos, D., Savini, G., Schaefer, B. M., Scott, D., Seiffert, M. D., Shellard, P., Simonetto, A., Smoot, G. F., Sozzi, C., Starck, J., Sternberg, J., Stivoli, F., Stolyarov, V., Stompor, R., Stringhetti, L., Sudiwala, R., Sunyaev, R., Sygnet, J., Tapiador, D., Tauber, J. A., Tavagnacco, D., Taylor, D., Terenzi, L., Texier, D., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Tuerler, M., Tuttlebee, M., Umana, G., Valenziano, L., Valiviita, J., Varis, J., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Watson, C., White, S. D., White, M., Wilkinson, A., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XI. Calibration of the local galaxy cluster Sunyaev-Zeldovich scaling relations ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartelmann, M., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Bourdin, H., Brown, M. L., Bucher, M., Burigana, C., Cabella, P., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Chiang, C., Chon, G., Christensen, P. R., Churazov, E., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Da Silva, A., Dahle, H., Danese, L., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Diego, J. M., Dolag, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Finelli, F., Flores-Cacho, I., Forni, O., Frailis, M., Franceschi, E., Fromenteau, S., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lanoux, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Liddle, A., Lilje, P. B., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Piffaretti, R., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rachen, J. P., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Schaefer, B. M., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sunyaev, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Valenziano, L., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., White, S. D., White, M., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. VI. The High Frequency Instrument data processing ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Ansari, R., Arnaud, M., Ashdown, M., Aumont, J., Banday, A. J., Bartelmann, M., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bock, J. J., Bond, J. R., Borrill, J., Bouchet, F. R., Boulanger, F., Bradshaw, T., Bucher, M., Cardoso, J., Castex, G., Catalano, A., Challinor, A., Chamballu, A., Chary, R., Chen, X., Chiang, C., Church, S., Clements, D. L., Colley, J., Colombi, S., Couchot, F., Coulais, A., Cressiot, C., Crill, B. P., Crook, M., de Bernardis, P., Delabrouille, J., Delouis, J., Desert, F., Dolag, K., Dole, H., Dore, O., Douspis, M., Dunkley, J., Efstathiou, G., Filliard, C., Forni, O., Fosalba, P., Ganga, K., Giard, M., Girard, D., Giraud-Heraud, Y., Gispert, R., Gorski, K. M., Gratton, S., Griffin, M., Guyot, G., Haissinski, J., Harrison, D., Helou, G., Henrot-Versille, S., Hernandez-Monteagudo, C., Hildebrandt, S. R., Hills, R., Hivon, E., Hobson, M., Holmes, W. A., Huffenberger, K. M., Jaffe, A. H., Jones, W. C., Kaplan, J., Kneissl, R., Knox, L., Kunz, M., Lagache, G., Lamarre, J., Lange, A. E., Lasenby, A., LAVABRE, A., Lawrence, C. R., Le Jeune, M., Leroy, C., Lesgourgues, J., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Mandolesi, N., Mann, R., Marleau, F., Marshall, D. J., Masi, S., Matsumura, T., McAuley, I., McGehee, P., Melin, J., Mercier, C., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Mortlock, D., Murphy, A., Nati, F., Netterfield, C. B., Norgaard-Nielsen, H. U., North, C., Noviello, F., Novikov, D., Osborne, S., Pajot, F., Patanchon, G., Peacocke, T., Pearson, T. J., Perdereau, O., Perotto, L., Piacentini, F., Piat, M., Plaszczynski, S., Pointecouteau, E., Ponthieu, N., Prezeau, G., Prunet, S., Puget, J., Reach, W. T., Remazeilles, M., Renault, C., Riazuelo, A., Ristorcelli, I., Rocha, G., Rosset, C., ROUDIER, G., Rowan-Robinson, M., Rusholme, B., Saha, R., Santos, D., Savini, G., Schaefer, B. M., Shellard, P., Spencer, L., Starck, J., Stolyarov, V., Stompor, R., Sudiwala, R., Sunyaev, R., Sutton, D., Sygnet, J., Tauber, J. A., Thum, C., Torre, J., Touze, F., Tristram, M., van Leeuwen, F., Vibert, L., Vibert, D., Wade, L. A., Wandelt, B. D., White, S. D., Wiesemeyer, H., Woodcraft, A., Yurchenko, V., Yvon, D., Zacchei, A. 2011; 536
  • Planck early results. IX. XMM-Newton follow-up for validation of Planck cluster candidates ASTRONOMY & ASTROPHYSICS Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartelmann, M., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Brown, M. L., Bucher, M., Burigana, C., Cabella, P., Cantalupo, C. M., Cardoso, J., CARVALHO, P., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Chon, G., Christensen, P. R., Churazov, E., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Da Silva, A., Dahle, H., Danese, L., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Diego, J. M., Dolag, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Finelli, F., Flores-Cacho, I., Forni, O., Frailis, M., Franceschi, E., Fromenteau, S., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gonzalez-Riestra, R., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Heinamaki, P., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Hurier, G., Jaffe, A. H., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Le Jeune, M., Leach, S., Leonardi, R., Liddle, A., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Piffaretti, R., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Saar, E., Sandri, M., Santos, D., Schaefer, B. M., Scott, D., Seiffert, M. D., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sunyaev, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Valenziano, L., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wandelt, B. D., White, S. D., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XII. Cluster Sunyaev-Zeldovich optical scaling relations ASTRONOMY & ASTROPHYSICS Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartelmann, M., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Brown, M. L., Bucher, M., Burigana, C., Cabella, P., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Chiang, C., Chon, G., Christensen, P. R., Churazov, E., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Da Silva, A., Dahle, H., Danese, L., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Diego, J. M., Dolag, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Finelli, F., Flores-Cacho, I., Forni, O., Frailis, M., Franceschi, E., Fromenteau, S., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Mei, S., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., O'Dwyer, I. J., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Piffaretti, R., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Savini, G., Schaefer, B. M., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sunyaev, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Valenziano, L., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wandelt, B. D., White, S. D., White, M., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XX. New light on anomalous microwave emission from spinning dust grains ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Boulanger, F., Bucher, M., Burigana, C., Cabella, P., Cappellini, B., Cardoso, J., Casassus, S., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chen, X., Chiang, L., Chiang, C., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davies, R. D., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Dickinson, C., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Eriksen, H. K., Finelli, F., Forni, O., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., JAFFE, T. R., Jaffe, A. H., Jones, W. C., Juvela, M., Keihaenen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Laehteenmaeki, A., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Lilje, P. B., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marshall, D. J., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., McGehee, P., Meinhold, P. R., Melchiorri, A., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., O'Dwyer, I. J., Osborne, S., Pajot, F., Paladini, R., Partridge, B., Pasian, F., Patanchon, G., Pearson, T. J., Peel, M., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Plaszczynski, S., Platania, P., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Procopio, P., Prunet, S., Puget, J., Reach, W. T., Rebolo, R., Reich, W., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Stompor, R., Sudiwala, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Umana, G., Valenziano, L., Varis, J., Verstraete, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Watson, R., Wilkinson, A., Ysard, N., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XV. Spectral energy distributions and radio continuum spectra of northern extragalactic radio sources ASTRONOMY & ASTROPHYSICS Aatrokoski, J., Ade, P. A., Aghanim, N., Aller, H. D., Aller, M. F., Angelakis, E., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Berdyugin, A., Bernard, J., Bersanelli, M., Bhatia, R., Bonaldi, A., Bonavera, L., Bond, J. R., Borrill, J., Bouchet, F. R., Bucher, M., Burigana, C., Burrows, D. N., Cabella, P., Capalbi, M., Cappellini, B., Cardoso, J., Catalano, A., Cavazzuti, E., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chiang, L., Christensen, P. R., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Cutini, S., Cuttaia, F., Danese, L., Davies, R. D., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Dickinson, C., Dole, H., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Finelli, F., Forni, O., Frailis, M., Franceschi, E., Fuhrmann, L., Galeotta, S., Ganga, K., Gargano, F., Gasparrini, D., Gehrels, N., Giard, M., Giardino, G., Giglietto, N., Giommi, P., Giordano, F., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Juvela, M., Keihanen, E., Keskitalo, R., King, O., Kisner, T. S., Kneissl, R., Knox, L., Krichbaum, T. P., Kurki-Suonio, H., Lagache, G., Lahteenmaki, A., Lamarre, J., Lasenby, A., Laureijs, R. J., Lavonen, N., Lawrence, C. R., Leach, S., Leonardi, R., Leon-Tavares, J., Linden-Vornle, M., Lindfors, E., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Martinez-Gonzalez, E., Masi, S., Massardi, M., Matarrese, S., Matthai, F., Max-Moerbeck, W., Mazziotta, M. N., Mazzotta, P., Melchiorri, A., Mendes, L., Mennella, A., Michelson, P. F., MINGALIEV, M., Mitra, S., Miville-Deschenes, M., Moneti, A., Monte, C., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Nestoras, I., Netterfield, C. B., Nieppola, E., NILSSON, K., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., O'Dwyer, I. J., Osborne, S., Pajot, F., Partridge, B., Pasian, F., Patanchon, G., Pavlidou, V., Pearson, T. J., Perdereau, O., Perotto, L., Perri, M., Perrotta, F., Piacentini, F., Piat, M., Plaszczynski, S., Platania, P., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Procopio, P., Prunet, S., Puget, J., Rachen, J. P., Raino, S., Reach, W. T., Readhead, A., Rebolo, R., Reeves, R., Reinecke, M., Reinthal, R., Renault, C., Ricciardi, S., Richards, J., Riller, T., Riquelme, D., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Saarinen, J., Sandri, M., Savolainen, P., Scott, D., Seiffert, M. D., Sievers, A., Sillanpaa, A., Smoot, G. F., Sotnikova, Y., Starck, J., Stevenson, M., Stivoli, F., Stolyarov, V., Sudiwala, R., Sygnet, J., Takalo, L., Tammi, J., Tauber, J. A., Terenzi, L., Thompson, D. J., Toffolatti, L., Tomasi, M., Tornikoski, M., Torre, J., Tosti, G., Tramacere, A., Tristram, M., Tuovinen, J., Turler, M., Turunen, M., Umana, G., Ungerechts, H., Valenziano, L., Valtaoja, E., Varis, J., Verrecchia, F., Vielva, P., Villa, F., Vittorio, N., Wandelt, B. D., Wu, J., Yvon, D., Zacchei, A., Zensus, J. A., Zhou, X., Zonca, A. 2011; 536
  • Planck early results. XXV. Thermal dust in nearby molecular clouds ASTRONOMY & ASTROPHYSICS Abergel, A., Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Boulanger, F., Bucher, M., Burigana, C., Cabella, P., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Chiang, C., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davies, R. D., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dickinson, C., Dobashi, K., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Eriksen, H. K., Finelli, F., Forni, O., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Guillet, V., Hansen, F. K., Harrison, D., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jones, A., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Leroy, C., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Mandolesi, N., Mann, R., Maris, M., Marshall, D. J., Martin, P., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., McGehee, P., Meinhold, P. R., Melchiorri, A., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Osborne, S., Pajot, F., Paladini, R., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Prunet, S., Puget, J., Reach, W. T., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Umana, G., Valenziano, L., Verstraete, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. VIII. The all-sky early Sunyaev-Zeldovich cluster sample ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartelmann, M., Bartlett, J. G., Battaner, E., Battye, R., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bock, J. J., Bonaldi, A., Bond, J. R., Borrill, J., Bouchet, F. R., Brown, M. L., Bucher, M., Burigana, C., Cabella, P., Cantalupo, C. M., Cardoso, J., CARVALHO, P., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chiang, L., Chiang, C., Chon, G., Christensen, P. R., Churazov, E., Clements, D. L., Colafrancesco, S., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Da Silva, A., Dahle, H., Danese, L., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dickinson, C., Diego, J. M., Dolag, K., Dole, H., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Eisenhardt, P., Ensslin, T. A., Feroz, F., Finelli, F., Flores-Cacho, I., Forni, O., Fosalba, P., Frailis, M., Franceschi, E., Fromenteau, S., Galeotta, S., Ganga, K., Genova-Santos, R. T., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gonzalez-Riestra, R., Gorski, K. M., Grainge, K. J., Gratton, S., Gregorio, A., Gruppuso, A., Harrison, D., Heinamaki, P., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Hurier, G., Hurley-Walker, N., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Le Jeune, M., Leach, S., Leonardi, R., Li, C., Liddle, A., Lilje, P. B., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Mei, S., Meinhold, P. R., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Nati, F., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., Olamaie, M., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Pearson, T. J., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Pierpaoli, E., Piffaretti, R., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rachen, J. P., Reach, W. T., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rubino-Martin, J. A., Rusholme, B., Saar, E., Sandri, M., Santos, D., Saunders, R. D., Savini, G., Schaefer, B. M., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Stanford, A., Starck, J., Stivoli, F., Stolyarov, V., Stompor, R., Sudiwala, R., Sunyaev, R., Sutton, D., Sygnet, J., Taburet, N., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Valenziano, L., Vibert, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., Weller, J., White, S. D., White, M., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. VII. The Early Release Compact Source Catalogue ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., Bonaldi, A., Bonavera, L., Bond, J. R., Borrill, J., Bouchet, F. R., Bucher, M., Burigana, C., Butler, R. C., Cabella, P., Cantalupo, C. M., Cappellini, B., Cardoso, J., CARVALHO, P., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chary, R., Chen, X., Chiang, L., Chiang, C., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davis, R. J., de Bernardis, P., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dickinson, C., Diego, J. M., Dolag, K., Dole, H., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Eriksen, H. K., Finelli, F., Forni, O., Fosalba, P., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Giard, M., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Gratton, S., Gregorio, A., Gruppuso, A., Haissinski, J., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Hernandez-Monteagudo, C., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hornstrup, A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Huynh, M., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lahteenmaki, A., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leahy, J. P., Leonardi, R., Leon-Tavares, J., Leroy, C., Lilje, P. B., Linden-Vornle, M., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maggio, G., Maino, D., Mandolesi, N., Mann, R., Maris, M., Marleau, F., Marshall, D. J., Martinez-Gonzalez, E., Masi, S., Massardi, M., Matarrese, S., Matthai, F., Mazzotta, P., McGehee, P., Meinhold, P. R., Melchiorri, A., Melin, J., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Noviello, F., Novikov, D., Novikov, I., O'Dwyer, I. J., Osborne, S., Pajot, F., Paladini, R., Partridge, B., Pasian, F., Patanchon, G., Pearson, T. J., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Piffaretti, R., Plaszczynski, S., Platania, P., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Pratt, G. W., Prezeau, G., Prunet, S., Puget, J., Rachen, J. P., Reach, W. T., Rebolo, R., Reinecke, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Sajina, A., Sandri, M., Santos, D., Savini, G., Schaefer, B. M., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Sudiwala, R., Sunyaev, R., Sygnet, J., Tauber, J. A., Tavagnacco, D., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Tuerler, M., Umana, G., Valenziano, L., Valiviita, J., Varis, J., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., White, S. D., Wilkinson, A., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • Planck early results. XVIII. The power spectrum of cosmic infrared background anisotropies ASTRONOMY & ASTROPHYSICS Ade, P. A., Aghanim, N., Arnaud, M., Ashdown, M., Aumont, J., Baccigalupi, C., Balbi, A., Banday, A. J., Barreiro, R. B., Bartlett, J. G., Battaner, E., Benabed, K., Benoit, A., Bernard, J., Bersanelli, M., Bhatia, R., BLAGRAVE, K., Bock, J. J., Bonaldi, A., Bonavera, L., Bond, J. R., Borrill, J., Bouchet, F. R., Bucher, M., Burigana, C., Cabella, P., Cardoso, J., Catalano, A., Cayon, L., Challinor, A., Chamballu, A., Chiang, L., Chiang, C., Christensen, P. R., Clements, D. L., Colombi, S., Couchot, F., Coulais, A., Crill, B. P., Cuttaia, F., Danese, L., Davies, R. D., Davis, R. J., de Bernardis, P., de Gasperis, G., De Rosa, A., De Zotti, G., Delabrouille, J., Delouis, J., Desert, F., Dole, H., Donzelli, S., Dore, O., Doerl, U., Douspis, M., Dupac, X., Efstathiou, G., Ensslin, T. A., Eriksen, H. K., Finelli, F., Forni, O., Fosalba, P., Frailis, M., Franceschi, E., Galeotta, S., Ganga, K., Giard, M., Giardino, G., Giraud-Heraud, Y., Gonzalez-Nuevo, J., Gorski, K. M., Grain, J., Gratton, S., Gregorio, A., Gruppuso, A., Hansen, F. K., Harrison, D., Helou, G., Henrot-Versille, S., Herranz, D., Hildebrandt, S. R., Hivon, E., Hobson, M., Holmes, W. A., Hovest, W., Hoyland, R. J., Huffenberger, K. M., Jaffe, A. H., Jones, W. C., Juvela, M., Keihanen, E., Keskitalo, R., Kisner, T. S., Kneissl, R., Knox, L., Kurki-Suonio, H., Lagache, G., Lamarre, J., Lasenby, A., Laureijs, R. J., Lawrence, C. R., Leach, S., Leonardi, R., Leroy, C., Lilje, P. B., Linden-Vornle, M., Lockman, F. J., Lopez-Caniego, M., LUBIN, P. M., Macias-Perez, J. F., MacTavish, C. J., Maffei, B., Maino, D., Mandolesi, N., Mann, R., Maris, M., Martin, P., Martinez-Gonzalez, E., Masi, S., Matarrese, S., Matthai, F., Mazzotta, P., Melchiorri, A., Mendes, L., Mennella, A., Mitra, S., Miville-Deschenes, M., Moneti, A., Montier, L., Morgante, G., Mortlock, D., Munshi, D., Murphy, A., Naselsky, P., Natoli, P., Netterfield, C. B., Norgaard-Nielsen, H. U., Novikov, D., Novikov, I., O'Dwyer, I. J., Oliver, S., Osborne, S., Pajot, F., Pasian, F., Patanchon, G., Perdereau, O., Perotto, L., Perrotta, F., Piacentini, F., Piat, M., Goncalves, D. P., Plaszczynski, S., Pointecouteau, E., Polenta, G., Ponthieu, N., Poutanen, T., Prezeau, G., Prunet, S., Puget, J., Rachen, J. P., Reach, W. T., Reinecke, M., Remazeilles, M., Renault, C., Ricciardi, S., Riller, T., Ristorcelli, I., Rocha, G., Rosset, C., Rowan-Robinson, M., Rubino-Martin, J. A., Rusholme, B., Sandri, M., Santos, D., Savini, G., Scott, D., Seiffert, M. D., Shellard, P., Smoot, G. F., Starck, J., Stivoli, F., Stolyarov, V., Stompor, R., Sudiwala, R., Sunyaev, R., Sygnet, J., Tauber, J. A., Terenzi, L., Toffolatti, L., Tomasi, M., Torre, J., Tristram, M., Tuovinen, J., Umana, G., Valenziano, L., Vielva, P., Villa, F., Vittorio, N., Wade, L. A., Wandelt, B. D., White, M., Yvon, D., Zacchei, A., Zonca, A. 2011; 536
  • TUMOR-ASSOCIATED GLIAL CELLS PROMOTE GROWTH OF GBM XENOGRAFTS IN EGFP NOD/SCID MICE 16th Annual Scientific Meeting of the Society-for-Neuro-Oncology (SNO)/AANS/CNS Section on Tumors Wang, J., Mutlu, E., Oyan, A., Yan, T., Tsinkalovsky, O., Jacobsen, H. K., Talasila, K. M., Sleire, L., Pettersen, K., Miletic, H., Andersen, S., Mitra, S., Weissman, I., Li, X., Kalland, K., Enger, P. O. OXFORD UNIV PRESS INC. 2011: 55–55
  • COMPARISON OF DIPG NEUROSPHERE CELL LINES FROM THREE PATIENTS 16th Annual Scientific Meeting of the Society-for-Neuro-Oncology (SNO)/AANS/CNS Section on Tumors Monje, M., Mitra, S. S., Freret, M. E., Edwards, M. S., Weissman, I. L., Beachy, P. A. OXFORD UNIV PRESS INC. 2011: 165–165
  • Salmonella exploits Arl8B-directed kinesin activity to promote endosome tubulation and cell-to-cell transfer CELLULAR MICROBIOLOGY Kaniuk, N. A., Canadien, V., Bagshaw, R. D., Bakowski, M., Braun, V., Landekic, M., Mitra, S., Huang, J., Do Heo, W., Meyer, T., Pelletier, L., Andrews-Polymenis, H., McClelland, M., Pawson, T., Grinstein, S., Brumell, J. H. 2011; 13 (11): 1812-1823

    Abstract

    The facultative intracellular pathogen Salmonella enterica serovar Typhimurium establishes a replicative niche, the Salmonella-containing vacuole (SCV), in host cells. Here we demonstrate that these bacteria exploit the function of Arl8B, an Arf family GTPase, during infection. Following infection, Arl8B localized to SCVs and to tubulated endosomes that extended along microtubules in the host cell cytoplasm. Arl8B(+) tubules partially colocalized with LAMP1 and SCAMP3. Formation of LAMP1(+) tubules (the Salmonella-induced filaments phenotype; SIFs) required Arl8B expression. SIFs formation is known to require the activity of kinesin-1. Here we find that Arl8B is required for kinesin-1 recruitment to SCVs. We have previously shown that SCVs undergo centrifugal movement to the cell periphery at 24 h post infection and undergo cell-to-cell transfer to infect neighbouring cells, and that both phenotypes require kinesin-1 activity. Here we demonstrate that Arl8B is required for migration of the SCV to the cell periphery 24 h after infection and for cell-to-cell transfer of bacteria to neighbouring cells. These results reveal a novel host factor co-opted by S. Typhimurium to manipulate the host endocytic pathway and to promote the spread of infection within a host.

    View details for DOI 10.1111/j.1462-5822.2011.01663.x

    View details for Web of Science ID 000296961800014

    View details for PubMedID 21824248

  • Characterization and Design of Logic Circuits in the Presence of Carbon Nanotube Density Variations IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Zhang, J., Patil, N. P., Hazeghi, A., Wong, H. P., Mitra, S. 2011; 30 (8): 1103-1113
  • RNA molecules with conserved catalytic cores but variable peripheries fold along unique energetically optimized pathways RNA-A PUBLICATION OF THE RNA SOCIETY Mitra, S., Laederach, A., Golden, B. L., Altman, R. B., Brenowitz, M. 2011; 17 (8): 1589-1603

    Abstract

    Functional and kinetic constraints must be efficiently balanced during the folding process of all biopolymers. To understand how homologous RNA molecules with different global architectures fold into a common core structure we determined, under identical conditions, the folding mechanisms of three phylogenetically divergent group I intron ribozymes. These ribozymes share a conserved functional core defined by topologically equivalent tertiary motifs but differ in their primary sequence, size, and structural complexity. Time-resolved hydroxyl radical probing of the backbone solvent accessible surface and catalytic activity measurements integrated with structural-kinetic modeling reveal that each ribozyme adopts a unique strategy to attain the conserved functional fold. The folding rates are not dictated by the size or the overall structural complexity, but rather by the strength of the constituent tertiary motifs which, in turn, govern the structure, stability, and lifetime of the folding intermediates. A fundamental general principle of RNA folding emerges from this study: The dominant folding flux always proceeds through an optimally structured kinetic intermediate that has sufficient stability to act as a nucleating scaffold while retaining enough conformational freedom to avoid kinetic trapping. Our results also suggest a potential role of naturally selected peripheral A-minor interactions in balancing RNA structural stability with folding efficiency.

    View details for DOI 10.1261/rna.2694811

    View details for Web of Science ID 000292843000016

    View details for PubMedID 21712400

    View details for PubMedCentralID PMC3153981

  • The Case for RAMCloud COMMUNICATIONS OF THE ACM Ousterhout, J., Agrawal, P., Erickson, D., Kozyrakis, C., Leverich, J., Mazieres, D., Mitra, S., Narayanan, A., Ongaro, D., Parulkar, G., Rosenblum, M., Rumble, S. M., Stratmann, E., Stutsman, R. 2011; 54 (7): 121-130
  • Scalable Carbon Nanotube Computational and Storage Circuits Immune to Metallic and Mispositioned Carbon Nanotubes IEEE TRANSACTIONS ON NANOTECHNOLOGY Patil, N., Lin, A., Zhang, J. (., Wei, H., Anderson, K., Wong, H. P., Mitra, S. 2011; 10 (4): 744-750
  • Search for gravitational waves from binary black hole inspiral, merger, and ringdown PHYSICAL REVIEW D Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Accadia, T., Acernese, F., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G. S., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonucci, F., Arain, M. A., Araya, M. C., Aronsson, M., Aso, Y., Aston, S. M., Astone, P., Atkinson, D., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballinger, T., Ballmer, S., Barker, D., Barnum, S., Barone, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Bauer, T. S., Behnke, B., Beker, M. G., Belletoile, A., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Birch, J., Birindelli, S., Biswas, R., Bitossi, M., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Blom, M., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bonnand, R., Bork, R., Born, M., Boschi, V., Bose, S., Bosi, L., Bouhou, B., Boyle, M., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bulten, H. J., Buonanno, A., Burguet-Castell, J., Burmeister, O., Buskulic, D., Buy, C., Byer, R. L., Cadonati, L., Cagnoli, G., Cain, J., Calloni, E., Camp, J. B., Campagna, E., Campsie, P., Cannizzo, J., Cannon, K., Canuel, B., Cao, J., Capano, C., Carbognani, F., Caride, S., Caudill, S., Cavaglia, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chaibi, O., Chalermsongsak, T., Chalkley, E., Charlton, P., Chassande-Mottin, E., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Corsi, A., Costa, C. A., Coulon, J., Coward, D. M., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., Dannenberg, R., D'Antonio, S., Danzmann, K., Das, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Davis, A., Daw, E. J., Day, R., Dayanga, T., DeRosa, R., DeBra, D., Debreczeni, G., Degallaix, J., del Prete, M., Dergachev, V., De Rosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Di Palma, I., Di Paolo Emilio, M., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Drago, M., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Ely, G., Engel, R., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Forte, L. A., Fotopoulos, N., Fournier, J., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Galimberti, M., Gammaitoni, L., Garofoli, J. A., Garufi, F., GASPAR, M. E., Gemme, G., Genin, E., Gennai, A., Gholami, I., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Gorodetsky, M. L., Gossler, S., Gouaty, R., Graef, C., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greverie, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayau, J., Hayler, T., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A. W., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E. J., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J. B., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khazanov, E. A., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Leroy, N., Letendre, N., Li, J., Li, T. G., Liguori, N., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lorenzini, M., Loriette, V., Lormand, M., Losurdo, G., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A. D., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majorana, E., Mak, C., Maksimovic, I., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Morioka, T., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., Mow-Lowry, C. M., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishizawa, A., Nocera, F., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palladino, L., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Pareja, M., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietka, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturo, M., Puppo, P., Quetschke, V., Raab, F. J., Rabeling, D. S., Racz, I., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., ROSINSKA, D., Roever, C., Rowan, S., Ruediger, A., Ruggi, P., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R. M., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., Shaddock, D. A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Sperandio, L., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A. S., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., Szokoly, G. P., Tacca, M., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Thuering, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torre, O., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Tseng, K., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vajente, G., Vallisneri, M., van den Brand, J. F., Van den Broeck, C., van der Putten, S., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vasuth, M., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A. E., Vinet, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Was, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., White, D., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2011; 83 (12)
  • Self-Tuning for Maximized Lifetime Energy-Efficiency in the Presence of Circuit Aging IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Mintarno, E., Skaf, J., Zheng, R., Velamala, J. B., Cao, Y., Boyd, S., Dutton, R. W., Mitra, S. 2011; 30 (5): 760-773
  • Linear Increases in Carbon Nanotube Density Through Multiple Transfer Technique NANO LETTERS Shulaker, M. M., Wei, H., Patil, N., Provine, J., Chen, H., Wong, H. P., Mitra, S. 2011; 11 (5): 1881-1886

    Abstract

    We present a technique to increase carbon nanotube (CNT) density beyond the as-grown CNT density. We perform multiple transfers, whereby we transfer CNTs from several growth wafers onto the same target surface, thereby linearly increasing CNT density on the target substrate. This process, called transfer of nanotubes through multiple sacrificial layers, is highly scalable, and we demonstrate linear CNT density scaling up to 5 transfers. We also demonstrate that this linear CNT density increase results in an ideal linear increase in drain-source currents of carbon nanotube field effect transistors (CNFETs). Experimental results demonstrate that CNT density can be improved from 2 to 8 CNTs/μm, accompanied by an increase in drain-source CNFET current from 4.3 to 17.4 μA/μm.

    View details for DOI 10.1021/nl200063x

    View details for Web of Science ID 000290373000005

    View details for PubMedID 21469727

  • The effect of amine protonation on the electrical properties of spin-assembled single-walled carbon nanotube networks NANOTECHNOLOGY Opatkiewicz, J. P., LeMieux, M. C., Patil, N. P., Wei, H., Mitra, S., Bao, Z. 2011; 22 (12)

    Abstract

    Amine-terminated self-assembled monolayers (SAMs) have been shown to selectively adsorb semiconducting single-walled carbon nanotubes (sc-SWNTs). Previous studies have shown that when deposited by spin coating, the resulting nanotube networks (SWNTnts) can be strongly influenced by the charge state of the amine (primary, secondary, and tertiary). When the amine surfaces were exposed to varying pH solutions, the conductivity and overall quality of the resulting fabricated networks were altered. Atomic force microscopy (AFM) topography had shown that the density of the SWNTnts was reduced as the amine protonation decreased, indicating that the electrostatic attraction between the SWNTs in solution and the surface influenced the adsorption. Simultaneously, μ-Raman analysis had suggested that when exposed to more basic conditions, the resulting networks were enhanced with sc-SWNTs. To directly confirm this enhancement, Ti/Pd contacts were deposited and devices were tested in air. Key device characteristics were found to match the enhancement trends previously observed by spectroscopy. For the primary and secondary amines, on/off current ratios were commensurate with the Raman trends in metallic contribution, while no trends were observed on the tertiary amine (due to weaker interactions). Finally, differing SWNT solution volumes were used to compensate for adsorption differences and yielded identical SWNTnt densities on the various pH-treated samples to eliminate the influence of network density. These results further the understanding of the amine-SWNT interaction during the spin coating process. Overall, we provide a convenient route to provide SWNT-based TFTs with highly tunable electronic charge transport through better understanding of the influence of these specific interactions.

    View details for DOI 10.1088/0957-4484/22/12/125201

    View details for Web of Science ID 000287448200001

    View details for PubMedID 21317495

  • Hedgehog-responsive candidate cell of origin for diffuse intrinsic pontine glioma PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA Monje, M., Mitra, S. S., Freret, M. E., Raveh, T. B., Kim, J., Masek, M., Attema, J. L., Li, G., Haddix, T., Edwards, M. S., Fisher, P. G., Weissman, I. L., Rowitch, D. H., Vogel, H., Wong, A. J., Beachy, P. A. 2011; 108 (11): 4453-4458

    Abstract

    Diffuse intrinsic pontine gliomas (DIPGs) are highly aggressive tumors of childhood that are almost universally fatal. Our understanding of this devastating cancer is limited by a dearth of available tissue for study and by the lack of a faithful animal model. Intriguingly, DIPGs are restricted to the ventral pons and occur during a narrow window of middle childhood, suggesting dysregulation of a postnatal neurodevelopmental process. Here, we report the identification of a previously undescribed population of immunophenotypic neural precursor cells in the human and murine brainstem whose temporal and spatial distributions correlate closely with the incidence of DIPG and highlight a candidate cell of origin. Using early postmortem DIPG tumor tissue, we have established in vitro and xenograft models and find that the Hedgehog (Hh) signaling pathway implicated in many developmental and oncogenic processes is active in DIPG tumor cells. Modulation of Hh pathway activity has functional consequences for DIPG self-renewal capacity in neurosphere culture. The Hh pathway also appears to be active in normal ventral pontine precursor-like cells of the mouse, and unregulated pathway activity results in hypertrophy of the ventral pons. Together, these findings provide a foundation for understanding the cellular and molecular origins of DIPG, and suggest that the Hh pathway represents a potential therapeutic target in this devastating pediatric tumor.

    View details for DOI 10.1073/pnas.1101657108

    View details for PubMedID 21368213

  • Search for gravitational waves associated with the August 2006 timing glitch of the Vela pulsar PHYSICAL REVIEW D Abadie, J., Abbott, B. P., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballmer, S., Barker, D., Barr, B., Barriga, P., Barsotti, L., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Behnke, B., Benacquista, M., BENNETT, M. F., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Bock, O., Bodiya, T. P., Bondarescu, R., Bork, R., Born, M., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brinkmann, M., Britzger, M., Brooks, A. F., Brown, D. A., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cain, J., Camp, J. B., Cannizzo, J., Cannon, K. C., Cao, J., Capano, C., Cardenas, L., Caudill, S., Cavaglia, M., Cepeda, C., Chalermsongsak, T., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Dahl, K., Danilishin, S. L., Danzmann, K., Daudert, B., Davies, G., Daw, E. J., Dayanga, T., DeBra, D., Degallaix, J., Dergachev, V., DeSalvo, R., Dhurandhar, S., Diaz, M., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Driggers, J., Dueck, J., Duke, I., Dumas, J., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Faltas, Y., Fan, Y., Fazi, D., Fehrmann, H., Finn, L. S., Flasch, K., Foley, S., Forrest, C., Fotopoulos, N., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Garofoli, J. A., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hammond, G. D., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Hughey, B., Husa, S., Huttner, S. H., Ingram, D. R., Isogai, T., Ivanov, A., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khan, R., Khazanov, E., Kim, H., King, P. J., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R., Koranda, S., Kozak, D., Kringel, V., Krishnan, B., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Lam, P. K., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Lei, M., Leindecker, N., Leonor, I., Lin, H., LINDQUIST, P. E., Littenberg, T. B., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mak, C., Mandel, I., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., McKechan, D. J., Mehmet, M., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merrill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Miller, J., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S. D., Mohapatra, S. R., Moreno, G., Mors, K., Mossavi, K., MowLowry, C., Mueller, G., Mueller-Ebhardt, H., Mukherjee, S., Mullavey, A., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Newton, G., Nishida, E., Nishizawa, A., O'Dell, J., O'Reilly, B., O'Shaughnessy, R., Ochsner, E., Ogin, G. H., Oldenburg, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pan, Y., Pankow, C., Papa, M. A., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Pickenpack, M., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Prokhorov, L., Puncken, O., Quetschke, V., Raab, F. J., Rabeling, D. S., Radkins, H., Raffai, P., Raics, Z., Rakhmanov, M., Raymond, V., Reed, C. M., Reed, T., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Roever, C., Rollins, J., Romano, J. D., Romie, J. H., Rowan, S., Ruediger, A., Ryan, K., Sakata, S., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sergeev, A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F., Stein, A. J., Stein, L. C., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., Szokoly, G. P., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thorne, K. A., Thorne, K. S., Thuering, A., Titsler, C., Tokmakov, K. V., Torres, C., Torrie, C. I., Traylor, G., Trias, M., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P. J., Veltkamp, C., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J., Buchner, S. 2011; 83 (4)
  • Carbon Nanotube Electronics - Materials, Devices, Circuits, Design, Modeling, and Performance Projection IEEE International Electron Devices Meeting (IEDM) Wong, H. P., Mitra, S., Akinwande, D., Beasley, C., Chai, Y., Chen, H., Chen, X., Close, G., Deng, J., Hazeghi, A., Liang, J., Lin, A., Liyanage, L. S., Luo, J., Parker, J., Patil, N., Shulaker, M., Wei, H., Wei, L., Zhang, J. IEEE. 2011
  • Carbon Nanotube Imperfection-Immune Digital VLSI: Frequently Asked Questions Updated Wei, H., Zhang, J., Wei, L., Patil, N., Lin, A., Shulaker, M., Mitra, S. 2011
  • Robust System Design IPSJ Trans. System LSI Design Methodology Mitra, S., Cho, H., Hong, T., Kim, Y., Lee, H., Leem, L. 2011
  • Carbon Electronics – From Material Synthesis to Circuit Demonstration Chen, H., Patil, N., Lin, A., Wei, L., Beasley, C., Zhang, J., Mitra, S. 2011
  • Overcoming CMOS Reliability Challenges: From Devices to Circuits and Systems IEEE/ACM Design Automation and Test in Europe Cao, Y., Gielen, G., Mitra, S., Nassif, S. 2011
  • Robust System Design to Overcome CMOS Reliability Challenges IEEE Journal on Emerging and Selected Topics in Circuits and Systems, Special Issue on the IEEE CAS Forum on Emerging and Selected Topics Mitra, S., Brelsford, K., Kim, Y., Lee, K., Li, Y. 2011
  • Carbon Nanotube Electronics – Materials, Devices, Circuits, Design, Modeling, and Performance Projection Wong, H., S.P., Mitra, S., Akinwande, D., Beasley, C., Chai, Y., Chen, H. 2011
  • Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Loi, I., Angiolini, F., Fujita, S., Mitra, S., Benini, L. 2011; 30 (1): 124-134
  • Overcoming Carbon Nanotube Variations through Co-optimized Technology and Circuit Design IEEE International Electron Devices Meeting (IEDM) Zhang, J., Patil, N., Wong, H. P., Mitra, S. IEEE. 2011
  • Air-Stable Technique for Fabricating n-Type Carbon Nanotube FETs IEEE International Electron Devices Meeting (IEDM) Wei, H., Chen, H., Liyanage, L., Wong, H. P., Mitra, S. IEEE. 2011
  • The role of the c-Jun N-terminal kinase 2-alpha-isoform in non-small cell lung carcinoma tumorigenesis ONCOGENE Nitta, R. T., Del Vecchio, C. A., Chu, A. H., Mitra, S. S., Godwin, A. K., Wong, A. J. 2011; 30 (2): 234-244

    Abstract

    The c-Jun N-terminal kinases (JNKs) are members of the mitogen-activated protein kinase family and have been implicated in tumorigenesis. One isoform in particular, JNK2α, has been shown to be frequently activated in primary brain tumors, to enhance several tumorigenic phenotypes and to increase tumor formation in mice. As JNK is frequently activated in non-small cell lung carcinoma (NSCLC), we investigated the role of the JNK2α isoform in NSCLC formation by examining its expression in primary tumors and by modulating its expression in cultured cell lines. We discovered that 60% of the tested primary NSCLC tumors had three-fold higher JNK2 protein and two- to three-fold higher JNK2α mRNA expression than normal lung control tissue. To determine the importance of JNK2α in NSCLC progression, we reduced JNK2α expression in multiple NSCLC cell lines using short hairpin RNA. Cell lines deficient in JNK2α had decreased cellular growth and anchorage-independent growth, and the tumors were four-fold smaller in mass. To elucidate the mechanism by which JNK2α induces NSCLC growth, we analyzed the JNK substrate, signal transducer and activator of transcription 3 (STAT3). Our data demonstrates for the first time that JNK2α can regulate the transcriptional activity of STAT3 by phosphorylating the Ser727 residue, thereby regulating the expression of oncogenic genes, such as c-Myc. Furthermore, reintroduction of JNK2α2 or STAT3 restored the tumorigenicity of the NSCLC cells, demonstrating that JNK2α is important for NSCLC progression. Our studies reveal a novel mechanism in which phosphorylation of STAT3 is mediated by a constitutively active JNK2 isoform, JNK2α.

    View details for DOI 10.1038/onc.2010.414

    View details for Web of Science ID 000286438900011

    View details for PubMedID 20871632

  • Carbon Nanotube Imperfection-Immune Digital VLSI: Frequently Asked Questions Updated Invited Paper IEEE/ACM International Conference on Computer-Aided Design (ICCAD) Wei, H., Zhang, J., Wei, L., Patil, N., Lin, A., Shulaker, M. M., Chen, H., Wong, H. P., Mitra, S. IEEE. 2011: 227–230
  • Integration of Nanoelectromechanical (NEM) Relays with Silicon CMOS with Functional CMOS-NEM Circuit IEEE International Electron Devices Meeting (IEDM) Chong, S., Lee, B., Parizi, K. B., Provine, J., Mitra, S., Howe, R. T., Wong, H. P. IEEE. 2011
  • Calibration of the LIGO gravitational wave detectors in the fifth science run NUCLEAR INSTRUMENTS & METHODS IN PHYSICS RESEARCH SECTION A-ACCELERATORS SPECTROMETERS DETECTORS AND ASSOCIATED EQUIPMENT Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Aronsson, M., Aso, Y., Aston, S., Atkinson, D. E., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballmer, S., Barkers, D., Barnum, S., Barr, B., Barriga, P., Barsotti, L., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Behnke, B., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Birch, J., Biswas, R., Black, E., Blackburn, J. K., Blackburn, L., Blaii, D., Bland, B., Bock, O., Bodiya, T. P., Bondarescu, R., Bork, R., Born, M., Bose, S., Boyle, M., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brinkmann, M., Britzger, M., Brooks, A. F., Brown, D. A., Buonanno, A., Burguet-Castell, J., Burmeister, O., Byer, R. L., Cadonati, L., Cain, J., Camp, J. B., Campsie, P., Cannizzo, J., Cannon, K. C., Cao, J., Capano, C., Caride, S., Caudill, S., Cavaglia, M., Cepeda, C., Chalermsongsak, T., Chalkley, E., Charlton, P., Chelkowski, S., Chen, Y., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Costa, C. A., Coward, D. M., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Dahl, K., Danilishin, S. L., Dannenberg, R., Danzmann, K., Das, K., Daudert, B., Davies, G., Davis, A., Daw, E. J., Dayanga, T., DeBra, D., Degallaix, J., Dergachev, V., DeRosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Palma, I., Diaz, M., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Engel, R., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Finn, L. S., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Fotopoulos, N., Irede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Garofoli, J. A., Gholami, I., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Gorodetsky, M. L., Gossler, S., Craef, C., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A. W., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E. J., Hoyland, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J. B., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalil, F. Y., Khazanov, E. A., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Li, J., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Luck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mak, C., Mandel, I., Mandic, V., Marka, S., Marka, Z., Maros, E., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Miller, J., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreno, G., Morioka, T., Mors, K., Mossavi, K., MowLowry, C. M., Mueller, G., Mukherjee, S., Mullavey, A., Muller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Newton, G., Nishizawa, A., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pan, Y., Pankow, C., Papa, M. A., Pareja, M., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Pickenpack, M., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Prix, R., Prokhorov, L., Puncken, O., Quetschke, V., Raab, F. J., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Raymond, V., Reed, C. M., Reed, T., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., ROVER, C., Rollins, J., Romano, J. D., Romie, J. H., Rowan, S., Rudiger, A., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., de la Jordana, L. S., Sandberg, V., Sannibale, M. V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R. M., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sergeev, A., Shaddock, D. A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Suites, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P., Szokoly, G. P., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Thuring, A., Titsler, C., Tokmakov, K. V., Torres, C., Torrie, C. I., Traylor, G., Trias, M., Tseng, K., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vallisneri, M., Van den Broeck, C., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P. J., Veltkamp, C., Villar, A. E., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., White, D. J., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Wilike, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P. P., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2010; 624 (1): 223-240
  • Search for gravitational waves from compact binary coalescence in LIGO and Virgo data from S5 and VSR1 PHYSICAL REVIEW D Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Accadia, T., Acernese, F., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonucci, F., Arain, M. A., Araya, M., Aronsson, M., Arun, K. G., Aso, Y., Aston, S., Astone, P., Atkinson, D. E., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballinger, T., Ballmer, S., Barker, D., Barnum, S., Barone, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Bauer, T. S., Behnke, B., Beker, M. G., Belletoile, A., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bigotta, S., Bilenko, I. A., Billingsley, G., Birch, J., Birindelli, S., Biswas, R., Bitossi, M., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Blom, M., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bonnand, R., Bork, R., Born, M., Bose, S., Bosi, L., Bouhou, B., Boyle, M., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bulten, H. J., Buonanno, A., Burguet-Castell, J., Burmeister, O., Buskulic, D., Buy, C., Byer, R. L., Cadonati, L., Cagnoli, G., Cain, J., Calloni, E., Camp, J. B., Campagna, E., Campsie, P., Cannizzo, J., Cannon, K. C., Canuel, B., Cao, J., Capano, C., Carbognani, F., Caudill, S., Cavaglia, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chalermsongsak, T., Chalkley, E., Charlton, P., Chassande-Mottin, E., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Corsi, A., Costa, C. A., Coulon, J., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., Dannenberg, R., D'Antonio, S., Danzmann, K., Das, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Davis, A., Daw, E. J., Day, R., Dayanga, T., De Rosa, R., DeBra, D., Degallaix, J., del Prete, M., Dergachev, V., DeRosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Di Palma, I., Emilio, M. d., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Drago, M., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Ely, G., Engel, R., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Fotopoulos, N., Fournier, J., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Galimberti, M., Gammaitoni, L., Garofoli, J. A., Garufi, F., Gemme, G., Genin, E., Gennai, A., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Goler, S., Gouaty, R., Graef, C., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greverie, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayau, J., Hayler, T., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khazanov, E. A., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Leroy, N., Letendre, N., Li, J., Li, T. G., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lorenzini, M., Loriette, V., Lormand, M., Losurdo, G., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majorana, E., Mak, C., Maksimovic, I., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., MowLowry, C., Mueller, G., Mukherjee, S., Mullavey, A., Ller-Ebhardt, H. M., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishida, E., Nishizawa, A., Nocera, F., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palladino, L., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Pareja, M., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietka, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturo, M., Puppo, P., Quetschke, V., Raab, F. J., Rabeling, D. S., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Roever, C., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., ROSINSKA, D., Rowan, S., Ruediger, A., Ruggi, P., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., de la Jordana, L. S., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., Shaddock, D., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Sperandio, L., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Ring, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torre, O., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Trummer, J., Tseng, K., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vajente, G., Vallisneri, M., van den Brand, J. F., Van den Broeck, C., van der Putten, S., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A., Vinet, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Was, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., White, D. J., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P. P., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2010; 82 (10)
  • IDENTIFICATION AND TARGETING OF GLIOBLASTOMA STEM CELLS USING EGFRVIII Advances in Inflammatory Bowel Diseases Crohn's and Colitis Foundations National Clinical and Research Conference Wong, A. J., Del Vecchio, C., Mitra, S., Han, S., Holgado-Madruga, M., Gupta, P. OXFORD UNIV PRESS INC. 2010: 123–123
  • FIRST SEARCH FOR GRAVITATIONAL WAVES FROM THE YOUNGEST KNOWN NEUTRON STAR ASTROPHYSICAL JOURNAL Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Aronsson, M., Aso, Y., Aston, S., Atkinson, D. E., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballmer, S., Barker, D., Barnum, S., Barr, B., Barriga, P., Barsotti, L., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Behnke, B., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Birch, J., Biswas, R., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Bock, O., Bodiya, T. P., Bondarescu, R., Bork, R., Born, M., Bose, S., Boyle, M., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brinkmann, M., Britzger, M., Brooks, A. F., Brown, D. A., Buonanno, A., Burguet-Castell, J., Burmeister, O., Byer, R. L., Cadonati, L., Camp, J. B., Campsie, P., Cannizzo, J., Cannon, K. C., Cao, J., Capano, C., Caride, S., Caudill, S., Cavaglia, M., Cepeda, C., Chalermsongsak, T., Chalkley, E., Charlton, P., Chelkowski, S., Chen, Y., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Costa, C. A., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Dahl, K., Danilishin, S. L., Dannenberg, R., Danzmann, K., Das, K., Daudert, B., Davies, G., Davis, A., Daw, E. J., Dayanga, T., DeBra, D., Degallaix, J., Dergachev, V., DeRosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Palma, I., Diaz, M., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Engel, R., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Finn, L. S., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Fotopoulos, N., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., T Fricke, T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Garofoli, J. A., Gholami, I., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Gorodetsky, M. L., Goler, S., Graef, C., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Heefner, J., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khazanov, E. A., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Li, J., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mak, C., Mandel, I., Mandic, V., Marka, S., Marka, Z., Maros, E., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Miller, J., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreno, G., Morioka, T., Mors, K., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Newton, G., Nishizawa, A., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pan, Y., Pankow, C., Papa, M. A., Pareja, M., Patel, P., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Pickenpack, M., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Prix, R., Prokhorov, L., Puncken, O., Quetschke, V., Raab, F. J., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Raymond, V., Reed, C. M., Reid, T. R., Reitze, D. H., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Roever, C., Rollins, J., Romano, J. D., Romie, J. H., Rowan, S., Ruediger, A., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., de la Jordana, L. S., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sergeev, A., Shaddock, D., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Thuering, A., Titsler, C., Tokmakov, K. V., Torres, C., Torrie, C. I., Traylor, G., Trias, M., Tseng, K., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vallisneri, M., Van den Broeck, C., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P. J., Veltkamp, C., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., White, D. J., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P. P., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2010; 722 (2): 1504-1513
  • Development of an EGFRvIII specific recombinant antibody BMC BIOTECHNOLOGY Gupta, P., Han, S., Holgado-Madruga, M., Mitra, S. S., Li, G., Nitta, R. T., Wong, A. J. 2010; 10

    Abstract

    EGF receptor variant III (EGFRvIII) is the most common variant of the EGF receptor observed in human tumors. It results from the in frame deletion of exons 2-7 and the generation of a novel glycine residue at the junction of exons 1 and 8. This novel juxtaposition of amino acids within the extra-cellular domain of the EGF receptor creates a tumor specific and immunogenic epitope. EGFRvIII expression has been seen in many tumor types including glioblastoma multiforme (GBM), breast adenocarcinoma, non-small cell lung carcinoma, ovarian adenocarcinoma and prostate cancer, but has been rarely observed in normal tissue. Because this variant is tumor specific and highly immunogenic, it can be used for both a diagnostic marker as well as a target for immunotherapy. Unfortunately many of the monoclonal and polyclonal antibodies directed against EGFRvIII have cross reactivity to wild type EGFR or other non-specific proteins. Furthermore, a monoclonal antibody to EGFRvIII is not readily available to the scientific community.In this study, we have developed a recombinant antibody that is specific for EGFRvIII, has little cross reactivity for the wild type receptor, and which can be easily produced. We initially designed a recombinant antibody with two anti-EGFRvIII single chain Fv's linked together and a human IgG1 Fc component. To enhance the specificity of this antibody for EGFRvIII, we mutated tyrosine H59 of the CDRH2 domain and tyrosine H105 of the CDRH3 domain to phenylalanine for both the anti-EGFRvIII sequence inserts. This mutated recombinant antibody, called RAb(DMvIII), specifically detects EGFRvIII expression in EGFRvIII expressing cell lines as well as in EGFRvIII expressing GBM primary tissue by western blot, immunohistochemistry (IHC) and immunofluorescence (IF) and FACS analysis. It does not recognize wild type EGFR in any of these assays. The affinity of this antibody for EGFRvIII peptide is 1.7 × 10⁷ M⁻¹ as determined by enzyme-linked immunosorbent assay (ELISA).This recombinant antibody thus holds great potential to be used as a research reagent and diagnostic tool in research laboratories and clinics because of its high quality, easy viability and unique versatility. This antibody is also a strong candidate to be investigated for further in vivo therapeutic studies.

    View details for DOI 10.1186/1472-6750-10-72

    View details for Web of Science ID 000283354200001

    View details for PubMedID 20925961

    View details for PubMedCentralID PMC2959087

  • Predictions for the rates of compact binary coalescences observable by ground-based gravitational-wave detectors CLASSICAL AND QUANTUM GRAVITY Abadie, J., Abbott, B. P., Abbott, R., ABERNATHY, M., Accadia, T., Acerneseac, F., Adams, C., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonuccia, F., Aoudiaa, S., Arain, M. A., Araya, M., Aronsson, M., Arun, K. G., Aso, Y., Aston, S., Astonea, P., Atkinson, D. E., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballmer, S., Barker, D., Barnum, S., Baroneac, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauchrowitz, J., Bauera, T. S., Behnke, B., Beker, M. G., Benacquista, M., Bertolini, A., Betzwieser, J., Beveridge, N., Beyersdorf, P. T., Bigottaab, S., Bilenko, I. A., Billingsley, G., Birch, J., Birindellia, S., Biswas, R., Bitossi, M., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Bloma, M., Blomberg, A., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bork, R., Born, M., Bose, S., Bosi, L., Boyle, M., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bulten, H. J., Buonanno, A., Burguet-Castell, J., Burmeister, O., Buskulic, D., Byer, R. L., Cadonati, L., Cagnoli, G., Calloni, E., Camp, J. B., Campagna, E., Campsie, P., Cannizzo, J., Cannon, K. C., Canuel, B., Cao, J., Capano, C., Carbognani, F., Caride, S., Caudill, S., Cavagli, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chalermsongsak, T., Chalkley, E., Charlton, P., Mottin, E. C., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Corda, C., Cornish, N., Corsi, A., Costa, C. A., Coulon, J. P., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., Dannenberg, R., D'Antonio, S., Danzmann, K., Dari, A., Das, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Davis, A., Daw, E. J., Day, R., Dayanga, T., De Rosa, R., DeBra, D., Degallaix, J., del Prete, M., Dergachev, V., DeRosa, R., DeSalvo, R., Devanka, P., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Di Palma, I., Emilio, M. d., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Dorsher, S., Douglas, E. S., Dragocd, M., Drever, R. W., Driggers, J. C., Dueck, J., Dumas, J. C., Eberle, T., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Engel, R., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Fan, Y., Farr, B. F., Fazi, D., Fehrmann, H., Feldbaum, D., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flanigan, M., Flasch, K., Foley, S., Forrest, C., Forsi, E., Fotopoulos, N., Fournier, J. D., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Gammaitoni, L., Garofoli, J. A., Garufiab, F., Gemme, G., Genin, E., Gennai, A., Gholami, I., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Gill, C., Goetz, E., Goggin, L. M., Gonzalez, G., Gorodetsky, M. L., Gossler, S., Gouaty, R., Graef, C., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greveriea, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hall, P., Hallam, J. M., Hammer, D., Hammond, G., Hanks, J., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Huynh-Dinh, T., Ingram, D. R., Inta, R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khazanov, E. A., Kim, C., Kim, H., King, P. J., KINZEL, D. L., Kissel, J. S., Klimenko, S., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Krause, T., Kringel, V., Krishnamurthy, S., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Leong, J., Leonor, I., Leroy, N., Letendre, N., Li, J., Li, T. G., Lin, H., LINDQUIST, P. E., Lockerbie, N. A., Lodhia, D., Lorenzinia, M., Lorietteb, V., Lormand, M., Losurdo, G., Lu, P., Luan, J., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mackowski, J. M., Mageswaran, M., Mailand, K., Majoranaa, E., Mak, C., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., MCIVOR, G., McKechan, D. J., MEADORS, G., Mehmet, M., Meier, T., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moraru, D., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Morioka, T., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., MowLowry, C., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishizawa, A., Nocera, F., Nolting, D., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R. G., O'Reilly, B., O'Shaughnessy, R., Osthelder, C., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palladino, L., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Pareja, M., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietkae, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Predoi, V., Price, L. R., Prijatelj, M., Principe, M., Privitera, S., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturoa, M., Puppo, P., Quetschke, V., Raab, F. J., Rabaste, O., Rabeling, D. S., Radke, T., Radkins, H., Raffai, P., Rakhmanov, M., Rankins, B., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Roever, C., ROGSTAD, S., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., Rosinskag, D., Rowan, S., Udiger, A. R., Ruggi, P., Ryan, K., Sakata, S., Sakosky, M., Salemi, F., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., Shaddock, D. A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Singer, A., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Speirits, F. C., Stein, A. J., Stein, L. C., Steinlechner, S., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thomas, P., Thorne, K. A., Thorne, K. S., Thrane, E., Thuering, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Trummer, J., Tseng, K., Ugolini, D., Urbanek, K., Vahlbruch, H., Vaishnav, B., Vajente, G., Vallisneri, M., van den Branda, J. F., Van den Broeck, C., Van der Puttena, S., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A., Vineta, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Wasa, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., EWhitcomb, S., White, D. J., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P. P., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J., Belczynski, K. 2010; 27 (17)
  • ACCNT: A Metallic-CNT-Tolerant Design Methodology for Carbon Nanotube VLSI: Analyses and Design Guidelines IEEE TRANSACTIONS ON ELECTRON DEVICES Lin, A., Zhang, J., Patil, N., Wei, H., Mitra, S., Wong, H. P. 2010; 57 (9): 2284-2295
  • SEARCH FOR GRAVITATIONAL-WAVE INSPIRAL SIGNALS ASSOCIATED WITH SHORT GAMMA-RAY BURSTS DURING LIGO'S FIFTH AND VIRGO'S FIRST SCIENCE RUN ASTROPHYSICAL JOURNAL Abadie, J., Abbott, B. P., Abbott, R., Accadia, T., Acernese, F., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonucci, F., Aoudia, S., Arain, M. A., Araya, M., Arun, K. G., Aso, Y., Aston, S., Astone, P., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballmer, S., Barker, D., Barone, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauer, T. S., Behnke, B., Beker, M. G., Belletoile, A., Benacquista, M., Betzwieser, J., Beyersdorf, P. T., Bigotta, S., Bilenko, I. A., Billingsley, G., Birindelli, S., Biswas, R., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Blom, M., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bonnand, R., Bork, R., Born, M., Bose, S., Bosi, L., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bullington, A., Bulten, H. J., Buonanno, A., Burguet-Castell, J., Burmeister, O., Buskulic, D., Buy, C., Byer, R. L., Cadonati, L., Cagnoli, G., Cain, J., Calloni, E., Camp, J. B., Campagna, E., Cannizzo, J., Cannon, K. C., Canuel, B., Cao, J., Capano, C. D., Carbognani, F., Cardenas, L., Caudill, S., Cavaglia, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chalermsongsak, T., Chalkley, E., Charlton, P., Chassande-Mottin, E., Chatterji, S., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Corsi, A., Coulon, J., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., D'Antonio, S., Danzmann, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Daw, E. J., Day, R., Dayanga, T., De Rosa, R., DeBra, D., Degallaix, J., del Prete, M., Dergachev, V., DeSalvo, R., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Emilio, M. d., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drago, M., Drever, R. W., Driggers, J., Dueck, J., Duke, I., Dumas, J., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Faltas, Y., Fan, Y., Fazi, D., Fehrmann, H., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flasch, K., Foley, S., Forrest, C., Fotopoulos, N., Fournier, J., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Galimberti, M., Gammaitoni, L., Garofoli, J. A., Garufi, F., Gemme, G., Genin, E., Gennai, A., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Gouaty, R., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greverie, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hammond, G. D., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayler, T., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Ingram, D. R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khan, R., Khazanov, E., Kim, H., King, P. J., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Kringel, V., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Lam, P. K., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Lei, M., Leindecker, N., Leonor, I., Leroy, N., Letendre, N., Li, T. G., Lin, H., LINDQUIST, P. E., Littenberg, T. B., Lockerbie, N. A., Lodhia, D., Lorenzini, M., Loriette, V., Lormand, M., Losurdo, G., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majorana, E., Mak, C., Maksimovic, I., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., McKechan, D. J., Mehmet, M., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., MowLowry, C., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishida, E., Nishizawa, A., Nocera, F., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietka, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Predoi, V., Principe, M., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturo, M., Puppo, P., Quetschke, V., Raab, F. J., Rabeling, D. S., Radkins, H., Raffai, P., Raics, Z., Rakhmanov, M., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Roever, C., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., ROSINSKA, D., Rowan, S., Ruediger, A., Ruggi, P., Ryan, K., Sakata, S., Salemi, F., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sarin, P., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, A. J., Stein, L. C., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., Szokoly, G. P., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thorne, K. A., Thorne, K. S., Thuering, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Trummer, J., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vajente, G., Vallisneri, M., van den Brand, J. F., Van den Broeck, C., van der Putten, S., van der Sluys, M. V., Vass, S., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., van Veggel, A. A., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A., Vinet, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Was, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yu, P. P., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2010; 715 (2): 1453-1461
  • All-sky search for gravitational-wave bursts in the first joint LIGO-GEO-Virgo run PHYSICAL REVIEW D Abadie, J., Abbott, B. P., Abbott, R., Accadia, T., Acernese, F., Adhikari, R., Ajith, P., Allen, B., Allen, G., Ceron, E. A., Amin, R. S., Anderson, S. B., Anderson, W. G., Antonucci, F., Arain, M. A., Araya, M., Arun, K. G., Aso, Y., Aston, S., Astone, P., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballardin, G., Ballmer, S., Barker, D., Barone, F., Barr, B., Barriga, P., Barsotti, L., Barsuglia, M., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Bauer, T. S., Behnke, B., Beker, M. G., Belletoile, A., Benacquista, M., Betzwieser, J., Beyersdorf, P. T., Bigotta, S., Bilenko, I. A., Billingsley, G., Birindelli, S., Biswas, R., Bizouard, M. A., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Blom, M., Boccara, C., Bock, O., Bodiya, T. P., Bondarescu, R., Bondu, F., Bonelli, L., Bonnand, R., Bork, R., Born, M., Bose, S., Bosi, L., Bouhou, B., Braccini, S., Bradaschia, C., Brady, P. R., Braginsky, V. B., Brau, J. E., Breyer, J., Bridges, D. O., Brillet, A., Brinkmann, M., Brisson, V., Britzger, M., Brooks, A. F., Brown, D. A., Budzynski, R., Bulik, T., Bullington, A., Bulten, H. J., Buonanno, A., Burmeister, O., Buskulic, D., Buy, C., Byer, R. L., Cadonati, L., Cagnoli, G., Cain, J., Calloni, E., Camp, J. B., Campagna, E., Cannizzo, J., Cannon, K. C., Canuel, B., Cao, J., Capano, C. D., Carbognani, F., Cardenas, L., Caudill, S., Cavaglia, M., Cavalier, F., Cavalieri, R., Cella, G., Cepeda, C., Cesarini, E., Chalermsongsak, T., Chalkley, E., Charlton, P., Chassande-Mottin, E., Chatterji, S., Chelkowski, S., Chen, Y., Chincarini, A., Christensen, N., Chua, S. S., Chung, C. T., Clark, D., Clark, J., Clayton, J. H., Cleva, F., Coccia, E., Colacino, C. N., Colas, J., Colla, A., Colombini, M., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., Corsi, A., Coulon, J., Coward, D., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Culter, R. M., Cumming, A., Cunningham, L., Cuoco, E., Dahl, K., Danilishin, S. L., D'Antonio, S., Danzmann, K., Dattilo, V., Daudert, B., Davier, M., Davies, G., Daw, E. J., Day, R., Dayanga, T., De Rosa, R., DeBra, D., Degallaix, J., del Prete, M., Dergachev, V., DeSalvo, R., Dhurandhar, S., Di Fiore, L., Di Lieto, A., Emilio, M. d., Di Virgilio, A., Diaz, M., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drago, M., Drever, R. W., Driggers, J., Dueck, J., Duke, I., Dumas, J., Edgar, M., Edwards, M., Effler, A., Ehrens, P., Etzel, T., Evans, M., Evans, T., Fafone, V., Fairhurst, S., Faltas, Y., Fan, Y., Fazi, D., Fehrmann, H., Ferrante, I., Fidecaro, F., Finn, L. S., Fiori, I., Flaminio, R., Flasch, K., Foley, S., Forrest, C., Fotopoulos, N., Fournier, J., Franc, J., Frasca, S., Frasconi, F., Frede, M., Frei, M., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Friedrich, D., Fritschel, P., Frolov, V. V., Fulda, P., Fyffe, M., Galimberti, M., Gammaitoni, L., Garofoli, J. A., Garufi, F., Gemme, G., Genin, E., Gennai, A., Ghosh, S., Giaime, J. A., Giampanis, S., Giardina, K. D., Giazotto, A., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Gouaty, R., Granata, M., Grant, A., Gras, S., Gray, C., Greenhalgh, R. J., Gretarsson, A. M., Greverie, C., Grosso, R., GROTE, H., Grunewald, S., Guidi, G. M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hammond, G. D., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harry, I. W., Harstad, E. D., Haughian, K., Hayama, K., Hayau, J., Hayler, T., Heefner, J., Heitmann, H., Hello, P., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., HODGE, K. A., Holt, K., Hosken, D. J., Hough, J., Howell, E., Hoyland, D., Huet, D., Hughey, B., Husa, S., Huttner, S. H., Ingram, D. R., Isogai, T., Ivanov, A., Jaranowski, P., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kandhasamy, S., Kanner, J., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khan, R., Khazanov, E., Kim, H., King, P. J., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R., Koranda, S., Kowalska, I., Kozak, D., Kringel, V., Krishnan, B., Krolak, A., Kuehn, G., Kullman, J., Kumar, R., Kwee, P., Lam, P. K., Landry, M., Lang, M., Lantz, B., Lastzka, N., Lazzarini, A., Leaci, P., Lei, M., Leindecker, N., Leonor, I., Leroy, N., Letendre, N., Li, T. G., Lin, H., LINDQUIST, P. E., Littenberg, T. B., Lockerbie, N. A., Lodhia, D., Lorenzini, M., Loriette, V., Lormand, M., Losurdo, G., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Lundgren, A., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majorana, E., Mak, C., Maksimovic, I., Man, N., Mandel, I., Mandic, V., Mantovani, M., Marchesoni, F., Marion, F., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Marque, J., Martelli, F., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Masserot, A., Matichard, F., Matone, L., Matzner, R. A., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McIntyre, G., McKechan, D. J., Mehmet, M., Melatos, A., Melissinos, A. C., Mendell, G., Menendez, D. F., Mercer, R. A., Merill, L., Meshkov, S., Messenger, C., Meyer, M. S., Miao, H., Michel, C., Milano, L., Miller, J., Minenkov, Y., Mino, Y., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohan, M., Mohanty, S. D., Mohapatra, S. R., Moreau, J., Moreno, G., Morgado, N., Morgia, A., Mors, K., Mosca, S., Moscatelli, V., Mossavi, K., Mours, B., MowLowry, C., Mueller, G., Mukherjee, S., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Nash, T., Nawrodt, R., Nelson, J., Neri, I., Newton, G., Nishida, E., Nishizawa, A., Nocera, F., Ochsner, E., O'Dell, J., Ogin, G. H., Oldenburg, R., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Page, A., Pagliaroli, G., Palladino, L., Palomba, C., Pan, Y., Pankow, C., Paoletti, F., Papa, M. A., Pardi, S., Parisi, M., Pasqualetti, A., Passaquieti, R., Passuello, D., Patel, P., Pathak, D., Pedraza, M., Pekowsky, L., Penn, S., Peralta, C., Perreca, A., Persichetti, G., Pichot, M., Pickenpack, M., Piergiovanni, F., Pietka, M., Pinard, L., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Poggiani, R., Postiglione, F., Prato, M., Principe, M., Prix, R., Prodi, G. A., Prokhorov, L., Puncken, O., Punturo, M., Puppo, P., Quetschke, V., Raab, F. J., Rabeling, D. S., Rabeling, D. S., Radkins, H., Raffai, P., Raics, Z., Rakhmanov, M., Rapagnani, P., Raymond, V., Re, V., Reed, C. M., Reed, T., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ricci, F., Riesen, R., Riles, K., Roberts, P., Robertson, N. A., Robinet, F., Robinson, C., Robinson, E. L., Rocchi, A., Roddy, S., Roever, C., Rolland, L., Rollins, J., Romano, J. D., Romano, R., Romie, J. H., ROSINSKA, D., Rowan, S., Ruediger, A., Ruggi, P., Ryan, K., Sakata, S., Salemi, F., Sammut, L., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., SANTAMARIA, L., Santostasi, G., Saraf, S., Sarin, P., Sassolas, B., Sathyaprakash, B. S., Sato, S., Satterthwaite, M., Saulson, P. R., Savage, R., Schilling, R., Schnabel, R., Schofield, R., Schulz, B., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Seifert, F., Sellers, D., Sengupta, A. S., Sentenac, D., Sergeev, A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Skelton, G., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Sperandio, L., Stein, A. J., Stein, L. C., STEPLEWSKI, S., Stochino, A., Stone, R., Strain, K. A., Strigin, S., Stroeer, A., Sturani, R., Stuver, A. L., Summerscales, T. Z., Sung, M., Susmithan, S., Sutton, P. J., SWINKELS, B., Szokoly, G. P., TALUKDER, D., Tanner, D. B., Tarabrin, S. P., Taylor, J. R., Taylor, R., Thorne, K. A., Thorne, K. S., Thuering, A., Titsler, C., Tokmakov, K. V., Toncelli, A., Tonelli, M., Torres, C., Torrie, C. I., Tournefier, E., Travasso, F., Traylor, G., Trias, M., Trummer, J., Turner, L., Ugolini, D., Urbanek, K., Vahlbruch, H., Vajente, G., Vallisneri, M., van den Brand, J. F., Van den Broeck, C., van der Putten, S., van der Sluys, M. V., Vass, S., Vaulin, R., Vavoulidis, M., Vecchio, A., Vedovato, G., van Veggel, A. A., Veitch, J., Veitch, P. J., Veltkamp, C., Verkindt, D., Vetrano, F., Vicere, A., Villar, A., Vinet, J., Vocca, H., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Wanner, A., Ward, R. L., Was, M., Wei, P., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wessels, P., West, M., Westphal, T., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkelmann, L., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yamamoto, K., Yeaton-Massey, D., Yoshida, S., Yvert, M., Zanolin, M., Zhang, L., Zhang, Z., Zhao, C., Zotov, N., ZUCKER, M. E., Zweizig, J. 2010; 81 (10)
  • Pineal Parenchymal Tumor of Intermediate Differentiation: Clinicopathological Report and Analysis of Epidermal Growth Factor Receptor Variant III Expression NEUROSURGERY Li, G., Mitra, S., Karamchandani, J., Edwards, M. S., Wong, A. J. 2010; 66 (5): 963-968

    Abstract

    Epidermal growth factor receptor (EGF) receptor gene amplification is commonly seen in cancer and is the target of many therapies. EGF receptor variant III (EGFRvIII) is the most common variant of the EGF receptor and has been detected in a large percentage of patients with glioblastoma multiforme but not in normal brain. Therapies targeting EGFRvIII are currently being investigated in clinical and preclinical trials.A 14-year-old girl who presented with headaches was found to have a pineal parenchymal tumor of intermediate differentiation. We review the histopathological properties that led to the diagnosis of this tumor. EGF receptor gene amplification and EGFRvIII expression have not been analyzed in pineal tumors. We investigated EGF receptor gene status and EGFRvIII expression in this patient's tumor.Tumor tissue was obtained and analyzed with flow cytometry, reverse-transcriptase polymerase chain reaction, and Western blot analysis. EGFRvIII was detected by all 3 methods. The tumor was further analyzed by fluorescence in situ hybridization, which did not reveal EGF receptor gene amplification.This is the first report of EGFRvIII expression in a pineal tumor. It is interesting that this variant is detected in the absence of EGF receptor gene amplification. A larger study evaluating the presence of EGFRvIII in pineal tumors is needed.

    View details for DOI 10.1227/01.NEU.0000367726.49003.F1

    View details for Web of Science ID 000276970800026

    View details for PubMedID 20404701

  • Post-Silicon Bug Localization for Processors Using IFRA COMMUNICATIONS OF THE ACM Park, S., Mitra, S. 2010; 53 (2): 106-113
  • Passive Antibody-Mediated Immunotherapy for the Treatment of Malignant Gliomas NEUROSURGERY CLINICS OF NORTH AMERICA Mitra, S., Li, G., Harsh, G. R. 2010; 21 (1): 67-?

    Abstract

    Despite advances in understanding the molecular mechanisms of brain cancer, the outcome of patients with malignant gliomas treated according to the current standard of care remains poor. Novel therapies are needed, and immunotherapy has emerged with great promise. The diffuse infiltration of malignant gliomas is a major challenge to effective treatment; immunotherapy has the advantage of accessing the entire brain with specificity for tumor cells. Therapeutic immune approaches include cytokine therapy, passive immunotherapy, and active immunotherapy. Cytokine therapy involves the administration of immunomodulatory cytokines to activate the immune system. Active immunotherapy is the generation or augmentation of an immune response, typically by vaccination against tumor antigens. Passive immunotherapy connotes either adoptive therapy, in which tumor-specific immune cells are expanded ex vivo and reintroduced into the patient, or passive antibody-mediated therapy. In this article, the authors discuss the preclinical and clinical studies that have used passive antibody-mediated immunotherapy, otherwise known as serotherapy, for the treatment of malignant gliomas.

    View details for DOI 10.1016/j.nec.2009.08.010

    View details for Web of Science ID 000278059500007

    View details for PubMedID 19944967

  • Post-Silicon Validation: Opportunities, Challenges and Recent Advances Mitra, S., Seshia, S., Nicolici, N. 2010
  • Carbon Nanotube Correlation: Promising Opportunity for CNFET Circuit Yield Enhancement Zhang, J., Bobba, S., Patil, N., Lin, A., Wong, H., S.P., De Micheli, G., Mitra, S. 2010
  • Carbon Nanotube Circuits: Living with Imperfections and Variations Zhang, J., Patil, N., Lin, A., Wong, H., S.P., Mitra, S. 2010
  • Statistical Static Timing Analysis using Markov Chain Monte Carlo Kanoria, Y., Montanari, A., Mitra, S. 2010
  • Gate-Oxide Early-life Failure Identification using Delay Shifts Kim, Y., Chen, T., Kameda, Y., Mizuno, M., Mitra, S. 2010
  • Imperfection-Immune Carbon Nanotube VLSI Circuits Nanoelectronic Circuit Design Patil, N., Lin, A., Zhang, J., Wei, H., Wong, H., S.P., Mitra, S. Springer. 2010: 1
  • Characterization and Implementation of Fault-Tolerant Vertical Links for 3D Networks-on-Chip IEEE Trans. CAD Loi, I., Angiolini, F., Mitra, S., Fujita, S., Benini, L. 2010
  • Optimized Self-Tuning to Maximize Lifetime Energy-Efficiency in the Presence of Circuit Aging Mintarno, E., Cao, Y., Boyd, S., Dutton, R., Mitra, S. 2010
  • BLoG: Post-Silicon Bug Localization in Processors using Bug Localization Graphs Park, S., Bracy, A., C., Wang, H., Mitra, S. 2010
  • ERSA: Error-Resilient System Architecture for Probabilistic Applications Leem, L., Cho, H., Bau, J., Jacobson, Q., Mitra, S. 2010
  • LEAP: Layout Design through Error-Aware Placement for Soft-Error Resilient Sequential Cell Design Lee, H., Lilja, K., Bounasser, M., Relangi, P., Linscott, I., Inan, U., Mitra, S. 2010
  • ACCNT - A Metallic-CNT-Tolerant Design Methodology for Carbon Nanotube VLSI: Analyses and Design Guidelines IEEE Trans. Electron Devices Lin, A., Patil, N., Zhang, J., Wei, H., Mitra, S., Wong, H., S.P. 2010
  • Concurrent Autonomous Self-Test for Uncore Components in SoCs Li, Y., Gardner, D., Mitra, S. 2010
  • Cross-Layer Resilience Challenges: Metrics and Optimization Mitra, S., Brelsford, K., Sanda, P. 2010
  • Post-Silicon Bug Localization for Processors Research Highlight, Communications of the ACM Park, S., Mitra, S. 2010
  • Scalable Carbon Nanotube Computational and Storage Circuits Immune to Metallic and Mis-positioned Carbon Nanotubes IEEE Trans. Nanotechnology Patil, N., Lin, A., Zhang, J., Wei, H., Anderson, K., Wong, H., S.P., Mitra, S. 2010
  • Robust System Design 23rd International Conference on VLSI Design/9th International Conference on Embedded Systems Mitra, S. IEEE COMPUTER SOC. 2010: 434–439
  • Low-Cost Gate-Oxide Early-Life Failure Detection in Robust Systems Symposium on VLSI Circuits Kim, Y. M., Kameda, Y., Kim, H., Mizuno, M., Mitra, S. IEEE. 2010: 125–126
  • Cross-Layer Error Resilience for Robust Systems IEEE and ACM International Conference on Computer-Aided Design Leem, L., Cho, H., Lee, H., Kim, Y. M., Li, Y., Mitra, S. IEEE. 2010: 177–180
  • TITANIUM NITRIDE SIDEWALL STRINGER PROCESS FOR LATERAL NANOELECTROMECHANICAL RELAYS 23rd IEEE International Conference on Micro Electro Mechanical Systems (MEMS 2010) Lee, D., Lee, W. S., Provine, J., Lee, J., Yoon, J., Howe, R. T., Mitra, S., Wong, H. P. IEEE. 2010: 456–459
  • Efficient FPGAs using Nanoelectromechanical Relays 18th ACM International Symposium on Field-Programmable Gate Arrays Chen, C., Parsa, R., Patil, N., Chong, S., Akarvardar, K., Provine, J., Lewis, D., Watt, J., Howe, R. T., Wong, H. P., Mitra, S. ASSOC COMPUTING MACHINERY. 2010: 273–282
  • The Epidermal Growth Factor Variant III Peptide Vaccine for Treatment of Malignant Gliomas NEUROSURGERY CLINICS OF NORTH AMERICA Li, G., Mitra, S., Wong, A. J. 2010; 21 (1): 87-?

    Abstract

    Epidermal growth factor variant III (EGFRvIII) is the most common alteration of the epidermal growth factor (EGF) receptor found in human tumors. It is commonly expressed in glioblastoma multiforme (GBM), where it was initially identified. This constitutively active mutant receptor leads to unregulated growth, survival, invasion, and angiogenesis in cells that express it. EGFRvIII results from an in-frame deletion of exons 2 to 7 resulting in the fusion of exon 1 to exon 8 of the EGF receptor gene creating a novel glycine at the junction in the extracellular amino terminal domain. The juxtaposition of ordinarily distant amino acids in combination with the glycine that forms at the junction leads to a novel tumor-specific epitope that would make an ideal tumor-specific target. A peptide derived from the EGFRvIII junction can be used as a vaccine to prevent or induce the regression of tumors. This peptide vaccine has now proceeded to phase 1 and 2 clinical trials where it has been highly successful and is now undergoing investigation in a larger human clinical trial for patients who have newly diagnosed GBM. In this article, the authors discuss the preclinical data that led to the human trials and the exciting preliminary data from the clinical trials.

    View details for DOI 10.1016/j.nec.2009.08.004

    View details for Web of Science ID 000278059500009

    View details for PubMedID 19944969

  • LEAP: Layout Design through Error-Aware Transistor Positioning for Soft-Error Resilient Sequential Cell Design 48TH Annual IEEE International Reliability Physics Symposium (IRPS) Lee, H. K., Lilja, K., Bounasser, M., Relangi, P., Linscott, I. R., Inan, U. S., Mitra, S. IEEE. 2010: 203–212
  • Efficient Metallic Carbon Nanotube Removal Readily Scalable to Wafer-Level VLSI CNFET Circuits Symposium on VLSI Technology (VLSIT) Wei, H., Patil, N., Zhang, J., Lin, A., Chen, H., Wong, H. P., Mitra, S. IEEE. 2010: 237–238
  • QED: Quick Error Detection Tests for Effective Post-Silicon Validation International Test Conference 2010 Hong, T., Li, Y., Park, S., Mui, D., Lin, D., Kaleq, Z. A., Hakim, N., Naeimi, H., Gardner, D. S., Mitra, S. IEEE. 2010
  • Solution Assembly of Organized Carbon Nanotube Networks for Thin-Film Transistors ACS NANO LeMieux, M. C., Sok, S., Roberts, M. E., Opatkiewicz, J. P., Liu, D., Barman, S. N., Patil, N., Mitra, S., Bao, Z. 2009; 3 (12): 4089-4097

    Abstract

    Ultrathin, transparent electronic materials consisting of solution-assembled nanomaterials that are directly integrated as thin-film transistors or conductive sheets may enable many new device structures. Applications ranging from disposable autonomous sensors to flexible, large-area displays and solar cells can dramatically expand the electronics market. With a practical, reliable method for controlling their electronic properties through solution assembly, submonolayer films of aligned single-walled carbon nanotubes (SWNTs) may provide a promising alternative for large-area, flexible electronics. Here, we report SWNT network TFTs (SWNTntTFTs) deposited from solution with controllable topology, on/off ratios averaging greater than 10(5), and an apparent mobility averaging 2 cm(2)/V.s, without any pre- or postprocessing steps. We employ a spin-assembly technique that results in chirality enrichment along with tunable alignment and density of the SWNTs by balancing the hydrodynamic force (spin rate) with the surface interaction force controlled by a chemically functionalized interface. This directed nanoscale assembly results in enriched semiconducting nanotubes yielding excellent TFT characteristics, which is corroborated with mu-Raman spectroscopy. Importantly, insight into the electronic properties of these SWNT networks as a function of topology is obtained.

    View details for DOI 10.1021/nn900827v

    View details for Web of Science ID 000272846000043

    View details for PubMedID 19924882

  • ACCNT-A Metallic-CNT-Tolerant Design Methodology for Carbon-Nanotube VLSI: Concepts and Experimental Demonstration IEEE TRANSACTIONS ON ELECTRON DEVICES Lin, A., Patil, N., Wei, H., Mitra, S., Wong, H. P. 2009; 56 (12): 2969-2978
  • BEATING THE SPIN-DOWN LIMIT ON GRAVITATIONAL WAVE EMISSION FROM THE CRAB PULSAR (vol 683, pg L45, 2008) ASTROPHYSICAL JOURNAL LETTERS Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J., Santostasi, G. 2009; 706 (1): L203-L204
  • Overcoming Early-Life Failure and Aging for Robust Systems IEEE DESIGN & TEST OF COMPUTERS Li, Y., Kim, Y. M., Mintarno, E., Mitra, S., Gardner, D. S. 2009; 26 (6): 28-39
  • Post-Silicon Bug Localization in Processors Using Instruction Footprint Recording and Analysis (IFRA) IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Park, S., Hong, T., Mitra, S. 2009; 28 (10): 1545-1558
  • Probabilistic Analysis and Design of Metallic-Carbon-Nanotube-Tolerant Digital Logic Circuits IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Zhang, J., Patil, N. P., Mitra, S. 2009; 28 (9): 1307-1320
  • Observation of a kilogram-scale oscillator near its quantum ground state NEW JOURNAL OF PHYSICS Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danilishin, S., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzlez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miao, H., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., Mow-Lowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyatchanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J. 2009; 11
  • Wafer-Scale Growth and Transfer of Aligned Single-Walled Carbon Nanotubes IEEE TRANSACTIONS ON NANOTECHNOLOGY Patil, N., Lin, A., Myers, E. R., Ryu, K., Badmaev, A., Zhou, C., Wong, H. P., Mitra, S. 2009; 8 (4): 498-504
  • Targeting brain tumor stem cells using a bispecific antibody directed against CD133+and EGFRvIII 45th Annual Meeting of the American-Society-of-Clinical-Oncology (ASCO) Wong, A., Mitra, S., Gupta, P. AMER SOC CLINICAL ONCOLOGY. 2009
  • All-Sky LIGO Search for Periodic Gravitational Waves in the Early Fifth-Science-Run Data PHYSICAL REVIEW LETTERS Abbott, B. P., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R. S., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Baker, P., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barsotti, L., Barton, M. A., Bartos, I., BASSIRI, R., Bastarrika, M., Behnke, B., Benacquista, M., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, J. K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A. F., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K. C., Cao, J., Cardenas, L., CARDOSO, V., Caride, S., CASEBOLT, T., Castaldi, G., Caudill, S., Cavagli, M., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Clayton, J. H., Cokelaer, T., Conte, R., Cook, D., Corbitt, T. R., Cornish, N., COYNE, D. C., Creighton, J. D., Creighton, T. D., Cruise, A. M., Cumming, A., Cunningham, L., CUTLER, R. M., Danzmann, K., Daudert, B., Davies, G., DeBra, D., Degallaix, J., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dwyer, J., Echols, C., Edgar, M., Effler, A., Ehrens, P., Ely, G., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Faltas, Y., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Flasch, K., Foley, S., Forrest, C., Fotopoulos, N., Franzen, A., Frei, Z., Freise, A., Frey, R., Fricke, T. T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J. A., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hanna, C., Hanson, J., Harms, J., Harry, G. M., Harstad, E. D., Haughian, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Holt, K., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalaidovski, A., Khalili, F. Y., Khan, R., Khazanov, E., King, P., Kissel, J. S., Klimenko, S., Kocsis, B., Kokeyama, K., Kondrashov, V., Kopparapu, R., Koranda, S., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Landry, M., Lantz, B., Lazzarini, A., Lei, M., Leonor, I., Li, C., Lin, H., LINDQUIST, P. E., Littenberg, T. B., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandel, I., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., MARTIN, I. W., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., McKechan, D., McKenzie, K., Mehmet, M., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, A., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S. D., Moreno, G., Mors, K., Mossavi, K., MowLowry, C., Mueller, G., Muhammad, D., Mukherjee, S., Mukhopadhyay, H., Mullavey, A., Mueller-Ebhardt, H., Munch, J., Murray, P. G., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., Ochsner, E., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perraca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F. J., Rabeling, D. S., Radkins, H., Raffai, P., Rainer, N., Rakhmanov, M., Ramsunder, M., Reed, T., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J. H., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., de la Jordana, L. S., Sandberg, V., Sannibale, V., SANTAMARIA, L., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Scanlan, M., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, J., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Sergeev, A., SHAPIRO, B., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Strain, K. A., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Ugolini, D., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M. V., van Veggel, A. A., Vass, S., Vaulin, R., Vecchio, A., Veitch, J. D., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R. L., Weinert, M., Weinstein, A. J., Weiss, R., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., ZUCKER, M. E., zur Muehlen, H., Zweizig, J. 2009; 102 (11)

    Abstract

    We report on an all-sky search with the LIGO detectors for periodic gravitational waves in the frequency range 50-1100 Hz and with the frequency's time derivative in the range -5 x 10{-9}-0 Hz s{-1}. Data from the first eight months of the fifth LIGO science run (S5) have been used in this search, which is based on a semicoherent method (PowerFlux) of summing strain power. Observing no evidence of periodic gravitational radiation, we report 95% confidence-level upper limits on radiation emitted by any unknown isolated rotating neutron stars within the search range. Strain limits below 10{-24} are obtained over a 200-Hz band, and the sensitivity improvement over previous searches increases the spatial volume sampled by an average factor of about 100 over the entire search band. For a neutron star with nominal equatorial ellipticity of 10{-6}, the search is sensitive to distances as great as 500 pc.

    View details for DOI 10.1103/PhysRevLett.102.111102

    View details for Web of Science ID 000264380200013

    View details for PubMedID 19392186

  • Design Methodology and Protection Strategy for ESD-CDM Robust Digital System Design in 90-nm and 130-nm Technologies IEEE TRANSACTIONS ON ELECTRON DEVICES Chen, T. W., Ito, C., Loh, W., Wang, W., Doddapaneni, K., Mitra, S., Dutton, R. W. 2009; 56 (2): 275-283
  • Solution Assembly of Transistor Arrays Based on Sorted Nanotube Networks for Large-scale Flexible Electronic Applications 47th Annual Symposium of the Society-for-Information-Display LeMieux, M. C., Roberts, M., Opatkiewicz, J., Bao, Z., Patil, N., Mitra, S. SOC INFORMATION DISPLAY. 2009: 877–879
  • Experimental Study of Gate-Oxide Early Life Failures Chen, T., W., Kim, Y., M., Kim, K., Kameda, Y., Mizuno, M., Mitra, S. 2009
  • Circuit Aging Prediction for Low-Power Operation Mitra, S., Zheng et al., R. 2009
  • ACCNT: A Metallic-CNT-Tolerant Design Methodology for Carbon Nanotube VLSI: Concepts and Experimental Demonstration IEEE Trans. Electron Devices Lin, A., Patil, N., Wei, H., Mitra, S., Wong, H., S.P. 2009
  • Overcoming Early-Life Failure and Aging Challenges for Robust System Design IEEE Design and Test of Computers, Special Issue on Design for Reliability and Robustness Li, Y., Kim, Y., M., Mintarno, E., Gardner, D., Mitra, S. 2009
  • Nanoelectromechanical (NEM) Relay Integrated with CMOS SRAM for Improved Stability and Low Leakage Mitra, S., Chong et al., S. 2009
  • Operating System Scheduling for Efficient On-line Self-Test in Robust Systems Li, Y., Mutlu, O., Mitra, S. 2009
  • Circuit Reliability: Modeling, Simulation and Resilient Design Solutions Cao, Y., Roy, K., Patyra, M., Mitra, S. 2009
  • From Nanodevices to Nanosystems: Promises and Challenges of IC Design with Nanomaterials Chen, D., Chen, Y., De, A., Mitra, S., Parkin, S. 2009
  • Imperfection-Immune VLSI Logic Circuits using Carbon Nanotube FETs Mitra, S., Zhang, J., Patil, N., Wei, H. 2009
  • IFRA: Instruction Footprint Recording and Analysis for Post-Silicon Bug Localization in Processors IEEE Trans. CAD Park, S., Hong, T., Mitra, S. 2009
  • Performance Benchmarking and Scalability of Carbon Nanotube Transistor Circuits IEEE Trans. Nanotechnology Patil, N., Deng, J., Wong, H., S.P., Mitra, S. 2009
  • Testing for Transistor Aging 27th IEEE VLSI Test Symposium Baba, A. H., Mitra, S. IEEE COMPUTER SOC. 2009: 215–220
  • Imperfection-Immune VLSI Logic Circuits using Carbon Nanotube Field Effect Transistors Design, Automation and Test in Europe Conference and Exhibition Mitra, S., Zhang, J., Patil, N., Wei, H. IEEE. 2009: 436–441
  • Carbon Nanotube Circuits in the Presence of Carbon Nanotube Density Variations 46th ACM/IEEE Design Automation Conference (DAC 2009) Zhang, J., Patil, N., Hazeghi, A., Mitra, S. IEEE. 2009: 71–76
  • EXPERIMENTAL STUDY OF GATE OXIDE EARLY-LIFE FAILURES 47th Annual IEEE International Reliability Physics Symposium Chen, T. W., Kim, Y. M., Kim, K., Kameda, Y., Mizuno, M., Mitra, S. IEEE. 2009: 650–658
  • Threshold Voltage and On-Off Ratio Tuning for Multiple-Tube Carbon Nanotube FETs IEEE TRANSACTIONS ON NANOTECHNOLOGY Lin, A., Patil, N., Ryu, K., Badmaev, A., De Arco, L. G., Zhou, C., Mitra, S., Wong, H. P. 2009; 8 (1): 4-9
  • VMR: VLSI-Compatible Metallic Carbon Nanotube Removal for Imperfection-Immune Cascaded Multi-Stage Digital Logic Circuits using Carbon Nanotube FETs IEEE International Electron Devices Meeting (IEDM 2009) Patil, N., Lin, A., Zhang, J., Wei, H., Anderson, K., Wong, H. P., Mitra, S. IEEE. 2009: 535–538
  • Digital VLSI Logic Technology using Carbon Nanotube FETs: Frequently Asked Questions 46th ACM/IEEE Design Automation Conference (DAC 2009) Patil, N., Lin, A., Zhang, J., Wong, H. P., Mitra, S. IEEE. 2009: 304–309
  • IFRA: Post-Silicon Bug Localization in Processors IEEE International High Level Design Validation and Test Workshop Park, S., Mitra, S. IEEE. 2009: 154–159
  • Einstein@Home search for periodic gravitational waves in LIGO S4 data PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, D. P., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., Ely, G., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Vigeland, S., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J. 2009; 79 (2)
  • Test Chip Experiments at Stanford CRC International Test Conference 2009 Al-Yamani, A., Chang, J., Franco, P., Li, J., Ma, S., Mitra, S., Park, I., Tseng, C., Volkerink, E. IEEE. 2009: 593–593
  • Circuit-Level Performance Benchmarking and Scalability Analysis of Carbon Nanotube Transistor Circuits IEEE International Solid-State Circuits Conference (ISSCC) Patil, N., Deng, J., Mitra, S., Wong, H. P. IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 2009: 37–45
  • CMOS-Analogous Wafer-Scale Nanotube-on-Insulator Approach for Submicrometer Devices and Integrated Circuits Using Aligned Nanotubes NANO LETTERS Ryu, K., Badmaev, A., Wang, C., Lin, A., Patil, N., Gomez, L., Kumar, A., Mitra, S., Wong, H. P., Zhou, C. 2009; 9 (1): 189-197

    Abstract

    Massive aligned carbon nanotubes hold great potential but also face significant integration/assembly challenges for future beyond-silicon nanoelectronics. We report a wafer-scale processing of aligned nanotube devices and integrated circuits, including progress on essential technological components such as wafer-scale synthesis of aligned nanotubes, wafer-scale transfer of nanotubes to silicon wafers, metallic nanotube removal and chemical doping, and defect-tolerant integrated nanotube circuits. We have achieved synthesis of massive aligned nanotubes on complete 4 in. quartz and sapphire substrates, which were then transferred to 4 in. Si/SiO(2) wafers. CMOS analogous fabrication was performed to yield transistors and circuits with features down to 0.5 mum, with high current density approximately 20 muA/mum and good on/off ratios. In addition, chemical doping has been used to build fully integrated complementary inverter with a gain approximately 5, and a defect-tolerant design has been employed for NAND and NOR gates. This full-wafer approach could serve as a critical foundation for future integrated nanotube circuits.

    View details for DOI 10.1021/nl802756u

    View details for Web of Science ID 000262519100035

    View details for PubMedID 19086836

  • A Metallic-CNT-Tolerant Carbon Nanotube Technology Using Asymmetrically-Correlated CNTs (ACCNT) Symposium on VLSI Technology Lin, A., Patil, N., Wei, H., Mitra, S., Wong, H. P. JAPAN SOCIETY APPLIED PHYSICS. 2009: 182–183
  • Monolithic Three-Dimensional Integrated Circuits using Carbon Nanotube FETs and Interconnects IEEE International Electron Devices Meeting (IEDM 2009) Wei, H., Patil, N., Lin, A., Wong, H. P., Mitra, S. IEEE. 2009: 539–542
  • First joint search for gravitational-wave bursts in LIGO and GEO 600 data CLASSICAL AND QUANTUM GRAVITY Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bartos, I., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Hughey, B., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J. 2008; 25 (24)
  • Search for Gravitational-Wave Bursts from Soft Gamma Repeaters PHYSICAL REVIEW LETTERS Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bartos, I., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J., Barthelmy, S., Gehrels, N., Hurley, K. C., Palmer, D. 2008; 101 (21)

    Abstract

    We present a LIGO search for short-duration gravitational waves (GWs) associated with soft gamma ray repeater (SGR) bursts. This is the first search sensitive to neutron star f modes, usually considered the most efficient GW emitting modes. We find no evidence of GWs associated with any SGR burst in a sample consisting of the 27 Dec. 2004 giant flare from SGR 1806-20 and 190 lesser events from SGR 1806-20 and SGR 1900+14. The unprecedented sensitivity of the detectors allows us to set the most stringent limits on transient GW amplitudes published to date. We find upper limit estimates on the model-dependent isotropic GW emission energies (at a nominal distance of 10 kpc) between 3x10;{45} and 9x10;{52} erg depending on waveform type, detector antenna factors and noise characteristics at the time of the burst. These upper limits are within the theoretically predicted range of some SGR models.

    View details for DOI 10.1103/PhysRevLett.101.211102

    View details for Web of Science ID 000261141500009

    View details for PubMedID 19113401

  • Design methods for misaligned and mispositioned carbon-nanotube immune circuits Symposium on VLSI Technology Patil, N., Deng, J., Lin, A., Wong, H. P., Mitra, S. IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 2008: 1725–36
  • IDENTIFICATION OF EGFRVIII IN BRAIN TUMOR STEM CELL ANALYSIS SUGGESTS A BISPECIFIC ANTIBODY TARGETING STRATEGY 13th Annual Meeting of the Society-for-Neuro-Oncology (SNO) Mitra, S., Del Vecchio, C., Han, S., Gupta, P., Skirboll, S., Wong, A. OXFORD UNIV PRESS INC. 2008: 899–99
  • Beating the spin-down limit on gravitational wave emission from the Crab pulsar ASTROPHYSICAL JOURNAL LETTERS Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S. W., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J., Santostasi, G. 2008; 683 (1): L45-L49
  • Search of S3 LIGO data for gravitational wave signals from spinning black hole and neutron star binary inspirals PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikai, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brad, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Coldwel, R., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. N., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McWilliarns, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselinakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Wilike, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wisernan, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2008; 78 (4)
  • Device study, chemical doping, and logic circuits based on transferred aligned single-walled carbon nanotubes APPLIED PHYSICS LETTERS Wang, C., Ryu, K., Badmaev, A., Patil, N., Lin, A., Mitra, S., Wong, H. P., Zhou, C. 2008; 93 (3)

    View details for DOI 10.1063/1.2956677

    View details for Web of Science ID 000257968700062

  • Implications for the origin of GRB 070201 from LIGO observations ASTROPHYSICAL JOURNAL Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greeniialgii, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Muehlen, H. Z., Zweizig, J., Hurley, K. C. 2008; 681 (2): 1419-1430
  • The search for alternative computational paradigms IEEE DESIGN & TEST OF COMPUTERS Shanbhag, N. R., Roychowdhury, J., Mitra, S., Jones, D., de Veciana, G., Orshansky, M., Rabaey, J. M., Marculescu, R. 2008; 25 (4): 334-343
  • Astrophysically triggered searches for gravitational waves: status and prospects 18th International Conference on General Relativity and Gravitation/7th Edoardo Amaldi Conference on Gravitational Waves Abbott, B., Abbott, R., Adhikari, R., Ajith, P., Allen, B., Allen, G., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M. A., Araya, M., Armandula, H., Armor, P., Aso, Y., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bastarrika, M., Bayer, K., Betzwieser, J., Beyersdorf, P. T., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bodiya, T. P., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Brunet, G., Bullington, A., Buonanno, A., Burmeister, O., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., CASEBOLT, T., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Christensen, N., Clark, D., Clark, J., Cokelaer, T., Conte, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Cumming, A., Cunningham, L., CUTLER, R. M., Dalrymple, J., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Dietz, A., Donovan, F., Dooley, K. L., Doomes, E. E., Drever, R. W., Duke, I., Dumas, J., Dupuis, R. J., Dwyer, J. G., Echols, C., Effler, A., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fehrmann, H., Fejer, M. M., Finn, L. S., Flasch, K., Fotopoulos, N., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Gouaty, R., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, R. J., Gretarsson, A. M., Grimaldi, F., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E. K., Gustafson, R., Hage, B., Hallam, J. M., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayama, K., Hayler, T., Heefner, J., Heng, I. S., Hennessy, M., Heptonstall, A., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Huttner, S. H., Ingram, D., Ito, M., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kanner, J., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Khan, R., Khazanov, E., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Kozhevatov, I., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lang, M. M., Lantz, B., Lazzarini, A., Lei, M., Leindecker, N., Leonhardt, V., Leonor, I., Libbrecht, K., Lin, H., Lindquist, P., Lockerbie, N. A., Lodhia, D., Lormand, M., Lu, P., Lubinski, M., Lucianetti, A., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Mandic, V., Marka, S., Marka, Z., Markosyan, A., Markowitz, J., Maros, E., Martin, I., Martin, R. M., Marx, J. N., Mason, K., Matichard, F., Matone, L., Matzner, R., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McIntyre, G., MCIVOR, G., McKechan, D., McKenzie, K., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Miller, J., Minelli, J., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Moe, B., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Mueller, G., Mukherjee, S., Mukhopadhyay, H., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nelson, J., Newton, G., Nishizawa, A., Numata, K., O'Dell, J., OGIN, G., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Ottens, R. S., Overmier, H., Owen, B. J., Pan, Y., Pankow, C., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Perreca, A., Petrie, T., Pinto, I. M., Pitkin, M., PLETSCH, H. J., Plissi, M. V., Postiglione, F., Principe, M., Prix, R., Quetschke, V., Raab, F., Rabeling, D. S., Radkins, H., Rainer, N., Rakhmanov, M., Ramsunder, M., Rehbein, H., Reid, S., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., WSchediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Smith, N. D., Somiya, K., Sorazu, B., Stein, L. C., Stochino, A., Stone, R., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., ULMEN, J., Urbanek, K., Vahlbruch, H., Van den Broeck, C., van der Sluys, M., Vass, S., Vaulin, R., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, H. R., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wiseman, A. G., Woan, G., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Zweizig, J., Acernese, F., Alshourbagy, M., Amico, P., Antonucci, F., Aoudia, S., Astone, P., Avino, S., Baggio, L., Ballardin, G., Barone, F., Barsotti, L., Barsuglia, M., Bauer, T. S., Bigotta, S., Birindelli, S., Bizouard, M. A., Boccara, C., Bondu, F., Bosi, L., Braccini, S., Bradaschia, C., Brillet, A., Brisson, V., Buskulic, D., Cagnoli, G., Calloni, E., Campagna, E., Carbognani, F., Cavalier, F., Cavalieri, R., Cella, G., Cesarini, E., Chassande-Mottin, E., Clapson, A., Cleva, F., Coccia, E., Corda, C., Corsi, A., Cottone, F., Coulon, J., Cuoco, E., D'Antonio, S., Dari, A., Dattilo, V., Davier, M., De Rosa, R., del Prete, M., Di Fiore, L., Di Lieto, A., Emilio, M. d., Di Virgilio, A., Evans, M., Fafone, V., Ferrante, I., Fidecaro, F., Fiori, I., Flaminio, R., Fournier, J., Frasca, S., Frasconi, F., Gammaitoni, L., Garufi, F., Genin, E., Gennai, A., Giazotto, A., Giordano, L., Granata, V., Greverie, C., Grosjean, D., Guidi, G., Hamdani, S., Hebri, S., Heitmann, H., Hello, P., Huet, D., Kreckelbergh, S., La Penna, P., Laval, M., Leroy, N., Letendre, N., Lopez, B., Lorenzini, M., Loriette, V., Losurdo, G., Mackowski, J., Majorana, E., Man, C. N., Mantovani, M., Marchesoni, F., Marion, F., Marque, J., Martelli, F., Masserot, A., Menzinger, F., Milano, L., Minenkov, Y., Moins, C., Moreau, J., Morgado, N., Mosca, S., Mours, B., Neri, I., Nocera, F., Pagliaroli, G., Palomba, C., Paoletti, F., Pardi, S., Pasqualetti, A., Passaquieti, R., Passuello, D., Piergiovanni, F., Pinard, L., Poggiani, R., Punturo, M., Puppo, P., Rapagnani, P., Regimbau, T., Remillieux, A., Ricci, F., Ricciardi, I., Rocchi, A., Rolland, L., Romano, R., Ruggi, P., Russo, G., Solimeno, S., Spallicci, A., Swinkels, B. L., Tarallo, M., Terenzi, R., Toncelli, A., Tonelli, M., Tournefier, E., Travasso, F., Vajente, G., van den Brand, J. F., van der Putten, S., Verkindt, D., Vetrano, F., Vicere, A., Vinet, J., Vocca, H., Yvert, M. IOP PUBLISHING LTD. 2008
  • High-throughput single-nucleotide structural mapping by capillary automated footprinting analysis NUCLEIC ACIDS RESEARCH Mitra, S., Shcherbakova, I. V., Altman, R. B., Brenowitz, M., Laederach, A. 2008; 36 (11)

    Abstract

    The use of capillary electrophoresis with fluorescently labeled nucleic acids revolutionized DNA sequencing, effectively fueling the genomic revolution. We present an application of this technology for the high-throughput structural analysis of nucleic acids by chemical and enzymatic mapping ('footprinting'). We achieve the throughput and data quality necessary for genomic-scale structural analysis by combining fluorophore labeling of nucleic acids with novel quantitation algorithms. We implemented these algorithms in the CAFA (capillary automated footprinting analysis) open-source software that is downloadable gratis from https://simtk.org/home/cafa. The accuracy, throughput and reproducibility of CAFA analysis are demonstrated using hydroxyl radical footprinting of RNA. The versatility of CAFA is illustrated by dimethyl sulfate mapping of RNA secondary structure and DNase I mapping of a protein binding to a specific sequence of DNA. Our experimental and computational approach facilitates the acquisition of high-throughput chemical probing data for solution structural analysis of nucleic acids.

    View details for DOI 10.1093/nar/gkn267

    View details for Web of Science ID 000257188700033

    View details for PubMedID 18477638

    View details for PubMedCentralID PMC2441812

  • Expression of EGFRvIII in brain tumor stem cells Wong, A., Mitra, S., Del Vecchio, C. A., Skirboll, S. AMER SOC CLINICAL ONCOLOGY. 2008
  • A joint search for gravitational wave bursts with AURIGA and LIGO CLASSICAL AND QUANTUM GRAVITY Baggio, L., Bignotto, M., Bonaldi, M., Cerdonio, M., De Rosa, M., Falferi, P., Fattori, S., Fortini, P., Giusfredi, G., Inguscio, M., Liguori, N., Longo, S., Marin, F., Mezzena, R., Mion, A., Ortolan, A., Poggi, S., Prodi, G. A., Re, V., Salemi, F., Soranzo, G., Taffarello, L., Vedovato, G., Vinante, A., Vitale, S., Zendri, J. P., Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBbra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Nocera, F., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sanders, G. H., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P., Savage, R., Savov, P., Sazonov, A., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van Putten, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2008; 25 (9)
  • A step or two towards solution of the RNA folding problem Brenowitz, M. D., Laederach, A., Mitra, S., Schlatterer, J., Shcherbakova, I. FEDERATION AMER SOC EXP BIOL. 2008
  • Search for gravitational waves from binary inspirals in S3 and S4 LIGO data PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Muller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Nocera, F., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sanders, G. H., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Sazonov, A., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van Putten, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Muehlen, H. Z., Zweizig, J. 2008; 77 (6)
  • Search for gravitational waves associated with 39 gamma-ray bursts using data from the second, third, and fourth LIGO runs PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Berukoff, S. J., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Coles, M., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Csatorday, P., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Degallaix, J., Degree, M., Delker, T., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Daiz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Ding, H., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heinzel, G., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Killow, C. J., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Lockerbie, N. A., Logan, J., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Newton, G., Nishizawa, A., Nocera, F., Numata, K., Nutzman, P., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Richman, S., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Rong, H., Route, R., Rowan, S., Ruedieger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sanders, G. H., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Sazonov, A., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strand, N. E., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Sylvestre, J., Takahashi, H., Takamori, A., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van Putten, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zaleski, K. D., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Muehlen, H. Z., Zweizig, J., Hild, S. 2008; 77 (6)
  • Historical perspective on scan compression IEEE DESIGN & TEST OF COMPUTERS Kapur, R., Mitra, S., Williams, T. W. 2008; 25 (2): 114-120
  • All-sky search for periodic gravitational waves in LIGO S4 data PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Castaldi, G., Cepeda, C., Chalkley, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., Sancho de la Jordana, L., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2008; 77 (2)
  • Design Guidelines for Metallic-Carbon-Nanotube-Tolerant Circuits Zhang, J., Patil, N., Mitra, S. 2008
  • Imperfection-Immune Carbon Nanotube VLSI Logic Circuits Mitra, S., Patil, N., Zhang, J. 2008
  • Soft Errors: Technology Trends, System Effects and Protection Techniques Mitra, S., Sanda, P., Seifert, N. 2008
  • Design Methods for Misaligned and Mis-positioned Carbon-Nanotube-Immune Circuits IEEE Trans. Computer-Aided Design Patil, N., Deng, J., Lin, A., Wong, H., S.P., Mitra, S. 2008
  • Soft Errors: System Effects, Protection Techniques and Case Studies Design Automation and Test in Europe Mitra, S., Sanda, P. 2008
  • Historical Perspective of Scan Compression IEEE Design and Test of Computers Kapur, R., Mitra, S., Williams, T., W. 2008
  • Globally Optimized Robust Systems to Overcome Scaled CMOS Challenges Mitra, S. 2008
  • Optimized Circuit Failure Prediction for Aging: Practicality and Promise Mitra, S., Agarwal et al., M. 2008
  • A Low-overhead Fault Tolerance Scheme for TSV-based 3D Network-on-Chip Links Mitra, S., Loi et al., I. 2008
  • Soft Errors: System Effects, Protection Techniques and Case Studies Mitra, S., Sanda, P. 2008
  • VAST: Virtualization Assisted Concurrent Autonomous Self-Test Inoue, H., Li, Y., Mitra, S. 2008
  • In Search of Alternative Computational Paradigms IEEE Design and Test of Computers Shanbhag, N., Mitra, S., de Veciana, G., Orshansky, M., Marculescu, R., Roychowdhury, J. 2008
  • Circuit failure prediction for robust system design in scaled CMOS 46th Annual IEEE International Reliability Physics Symposium Mitra, S. IEEE, ELECTRON DEVICES SOC & RELIABILITY GROUP. 2008: 524–531
  • Soft error resilient system design through error correction 14th International Conference on Very Large Scale Integration of System on Chip Mitra, S., Zhang, M., Seifert, N., Mak, T. M., Kim, K. S. SPRINGER. 2008: 143–156
  • Globally optimized robust systems to overcome scaled CMOS reliability challenges Design, Automation and Test in Europe Conference and Exhibition (DATE 08) Mitra, S. IEEE. 2008: 820–825
  • CASP: Concurrent Autonomous chip self-test using Stored test Patterns Design, Automation and Test in Europe Conference and Exhibition (DATE 08) Li, Y., Makar, S., Mitra, S. IEEE. 2008: 764–769
  • Design guidelines for metallic-carbon-nanotube-tolerant digital logic circuits Design, Automation and Test in Europe Conference and Exhibition (DATE 08) Zhang, J., Patil, N. P., Mitra, S. IEEE. 2008: 888–893
  • Gate-oxide early life failure prediction 26th IEEE VLSI Test Symposium Chen, T. W., Kim, K., Kim, Y. M., Mitra, S. IEEE COMPUTER SOC. 2008: 111–118
  • IFRA: Instruction Footprint Recording and Analysis for post-silicon bug localization in processors 45th ACM/IEEE Design Automation Conference Park, S., Mitra, S. IEEE. 2008: 373–378
  • Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures Symposium on VLSI Technology Patil, N., Lin, A., Myers, E. R., Wong, H. P., Mitra, S. IEEE. 2008: 205–206
  • Integrated wafer-scale growth and transfer of directional carbon nanotubes and misaligned-carbon-nanotube-immune logic structures Symposium on VLSI Technology Patil, N., Lin, A., Myers, E. R., Wong, H. P., Mitra, S. IEEE. 2008: 159–160
  • Search for gravitational-wave bursts in LIGO data from the fourth science run CLASSICAL AND QUANTUM GRAVITY Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., WJohnson, W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Luck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Muller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Pelc, J., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuring, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., EWhitcomb, S., FWhiting, B., Wilkinson, C., Willems, P. A., Willems, L., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2007; 24 (22): 5343-5369
  • Upper limit map of a background of gravitational waves PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Luck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Sannibale, V., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Muehlen, H. Z., Zweizig, J. 2007; 76 (8)
  • Searches for periodic gravitational waves from unknown isolated sources and Scorpius X-1: Results from the second LIGO science run PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Berukoff, S. J., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, B., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Coles, M., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Csatorday, P., Cumming, A., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Degallaix, J., Degree, M., Delker, T., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Ding, H., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L. M., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heinzel, G., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Killow, C. J., Kim, C., King, P., Kissell, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Lockerbie, N. A., Logan, J., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Newton, G., Nishizawa, A., Nocera, F., Numata, K., Nutzman, P., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Richman, S., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robison, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Rong, H., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sanders, G. H., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B., Sato, S., Saulson, P. R., Savage, R., Savov, P., Sazonov, A., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strand, N. E., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Sylvestre, J., Takahashi, H., Takamori, A., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van Putten, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zaleski, K. D., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., Muehlen, H. Z., Zweizig, J. 2007; 76 (8)
  • Search for gravitational wave radiation associated with the pulsating tail of the SGR 1806 20 hyperflare of 27 December 2004 using LIGO PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Az, M. D., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kamat, S., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2007; 76 (6)
  • Upper limits on gravitational wave emission from 78 radio pulsars PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burmeister, O., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Croce, R. P., Crooks, D. R., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Newton, G., Nishizawa, A., Nocera, F., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sanders, G. H., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Sazonov, A., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., van Putten, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J., Kramer, M., Lyne, G. 2007; 76 (4)
  • First cross-correlation analysis of interferometric and resonant-bar gravitational-wave data for stochastic backgrounds PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Arain, M., Araya, M., Armandula, H., Ashley, M., Aston, S., Aufmuth, P., Aulbert, C., Babak, S., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P. T., Bhawal, B., Bilenko, I. A., Billingsley, G., Biswas, R., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogenstahl, J., Bogue, L., Bork, R., Boschi, V., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brinkmann, M., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgamy, M., Burmeister, O., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Castaldi, G., Cepeda, C., Chalkey, E., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chiadini, F., Chin, D., Chin, E., Chow, J., Christensen, N., Clark, J., Cochrane, P., Cokelaer, T., Colacino, C. N., Coldwell, R., Conte, R., Cook, D., Cruise, A. M., Cumming, A., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Degallaix, J., Degree, M., Demma, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Diaz, M., Dickson, J., Di Credico, A., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Dwyer, J. G., Ehrens, P., ESPINOZA, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fazi, D., Fejer, M. M., Finn, L. S., Fiumara, V., Fotopoulos, N., Franzen, A., Franzen, K. Y., Freise, A., Frey, R., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Galdi, V., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Giardina, K. D., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hamilton, W. O., Hammer, D., Hanna, C., Hanson, J., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hirose, E., Hoak, D., Hosken, D., Hough, J., Howell, E., Hoyland, D., Huttner, S. H., Ingram, D., Innerhofer, E., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Keppel, D. G., Khalili, F. Y., Kim, C., King, P., Kissel, J. S., Klimenko, S., Kokeyama, K., Kondrashov, V., Kopparapu, R. K., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leiner, J., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Longo, M., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marano, S., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Matta, V., Mavalvala, N., McCarthy, R., McCaulley, B. J., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., McWilliams, S., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Miller, P., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moody, V., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mukherjee, S., Mueller-Ebhardt, H., Munch, J., Murray, P., Myers, E., Myers, J., Nash, T., Nettles, D., Newton, G., Nishizawa, A., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Paik, H., Pan, Y., Papa, M. A., Parameshwaraiah, V., Patel, P., Pedraza, M., Penn, S., Pierro, V., Pinto, I. M., Pitkin, M., Pletsch, H., Plissi, M. V., Postiglione, F., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rainer, N., Rakhmanov, M., Ramsunder, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, N. A., Robinson, C., Robinson, E. L., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Savov, P., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Sidles, J. A., Siemens, X., Sigg, D., Sinha, S., Sintes, A. M., Slagmolen, B. J., SLUTSKY, J., Smith, J. R., Smith, M. R., Somiya, K., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T. Z., Sun, K., Sung, M., Sutton, P. J., Takahashi, H., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Urbanek, K., Vahlbruch, H., Vallisneri, M., Van den Broeck, C., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Weaver, J., Webber, D., Weber, A., Weidner, A., Weinert, M., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Williams, L., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, J., Zhang, L., Zhang, P., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2007; 76 (2)
  • Searching for a stochastic background of gravitational waves with the laser interferometer gravitational-wave observatory ASTROPHYSICAL JOURNAL Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Aston, S., Aulbert, C., Babak, S., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barr, B., Barriga, P., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Beyersdorf, P., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Blair, D., Bland, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brooks, A., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burman, R., Busby, D., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Casey, M. M., Cepeda, C., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chin, D., Chin, E., Chow, J., Christensen, N., Cokelaer, T., Colacino, C. N., Coldwell, R., Cook, D., Corbitt, T., Coward, D., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Cruise, A. M., Cumming, A., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., De Vine, G., DeBra, D., Degallaix, J., Dergachev, V., Desai, S., DeSalvo, R., Dhurandar, S., Di Credico, A., Diaz, M., Dickson, J., Diederichs, G., Dietz, A., Doomes, E. E., Drever, R. W., Dumas, J., Dupuis, R. J., Ehrens, P., Elliffe, E., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fan, Y., Fejer, M. M., Finn, L. S., Fotopoulos, N., Franzen, A., Franzen, K. Y., Frey, R. E., Fricke, T., Fritschel, P., Frolov, V. V., Fyffe, M., Garofoli, J., Gholami, I., Giaime, J. A., Giampanis, S., Goda, K., Goetz, E., Goggin, L., Gonzalez, G., Gossler, S., Grant, A., Gras, S., Gray, C., Gray, M., Greenhalgh, J., Gretarsson, A. M., Grimmett, D., Grosso, R., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hage, B., Hanna, C., Hanson, J., Hardham, C., Harms, J., Harry, G., Harstad, E., Hayler, T., Heefner, J., Heng, I. S., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hirose, E., Hoak, D., Hoang, P., Hosken, D., Hough, J., Howell, E., Hoyland, D., Hua, W., Huttner, S., Ingram, D., Ito, M., Itoh, Y., Ivanov, A., Jackrel, D., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, R., Ju, L., Kalmus, P., Kalogera, V., Kasprzyk, D., Katsavounidis, E., Kawabe, K., Kawamura, S., Kawazoe, F., Kells, W., Khalili, F. Y., Khan, A., Kim, C., King, P., Klimenko, S., Kokeyama, K., Kondrashov, V., Koranda, S., Kozak, D., Krishnan, B., Kwee, P., Lam, P. K., Landry, M., Lantz, B., Lazzarini, A., Lee, B., Lei, M., Leonhardt, V., Leonor, I., Libbrecht, K., Lindquist, P., Lockerbie, N. A., Lormand, M., Lubinski, M., Lueck, H., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marka, S., Markowitz, J., Maros, E., Martin, I., Marx, J. N., Mason, K., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McGuire, S. C., McHugh, M., McKenzie, K., McNabb, J. W., Meier, T., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C. J., Meyers, D., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., MowLowry, C., Moylan, A., Mudge, D., Mueller, G., Mueller-Ebhardt, H., Mukherjee, S., Munch, J., Murray, P., Myers, E., Myers, J., Newton, G., Numata, K., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Pedraza, M., Penn, S., Pitkin, M., Plissi, M. V., Prix, R., Quetschke, V., Raab, F., Rabeling, D., Radkins, H., Rahkola, R., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Rehbein, H., Reid, S., Reitze, D. H., Ribichini, L., Riesen, R., Riles, K., Rivera, B., Robertson, D. I., Robertson, N. A., Robinson, C., Roddy, S., Rodriguez, A., Rogan, A. M., Rollins, J., Romano, J. D., Romie, J., Route, R., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sakata, S., Samidi, M., de la Jordana, L. S., Sandberg, V., Sannibale, V., Saraf, S., Sarin, P., Sathyaprakash, B. S., Sato, S., Saulson, P. R., Savage, R., Schediwy, S., Schilling, R., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seifert, F., Sellers, D., Sengupta, A. S., Shawhan, P., Sheard, B., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Slagmolen, B., SLUTSKY, J., Smith, J., Smith, M. R., Sneddon, P., Somiya, K., Speake, C., Spjeld, O., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T., Sun, K., Sung, M., Sutton, P. J., Tanner, D. B., Tarallo, M., Taylor, R., Taylor, R., Thacker, J., Thorne, K. A., Thorne, K. S., Thuering, A., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Trias, M., Tyler, W., Ugolini, D., Ungarelli, C., Vahlbruch, H., Vallisneri, M., Varvella, M., Vass, S., Vecchio, A., Veitch, J., Veitch, P., Vigeland, S., Villar, A., Vorvick, C., Vyachanin, S. P., Waldman, S. J., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weidner, A., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitbeck, D. M., Whitcomb, S. E., Whiting, B. F., Wilkinson, C., Willems, P. A., Willke, B., Wilmut, I., Winkler, W., Wipf, C. C., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yan, Z., Yoshida, S., Yunes, N., Zanolin, M., Zhang, L., Zhao, C., Zotov, N., Zucker, M., zur Muehlen, H., Zweizig, J. 2007; 659 (2): 918-930
  • Application-dependent delay testing of FPGAs IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Tahoori, M. B., Mitra, S. 2007; 26 (3): 553-563
  • Automated design of misaligned-carbon-nanotube-immune circuits 44th ACM/IEEE Design Automation Conference Patil, N., Deng, J., Wong, H. P., Mitra, S. IEEE. 2007: 958–961
  • Design of Imperfection-Immune Carbon Nanotube Field Effect Transistor Circuits Patil, N., Deng, J., Mitra, S., Wong, H., S.P. 2007
  • Verification Guided Soft Error Resilience Seshia, S., Li, W., Mitra, S. 2007
  • Soft Errors: Technology Trends, System Effects and Protection Techniques Mitra, S., Sanda, P., Lesea, A. 2007
  • California Scan: A Scan Architecture to Utilize Don't Care Bits in Test Patterns Cho, K., Y., Mitra, S., McCluskey, E., J. 2007
  • Marco-model for Post-breakdown 90nm and 130nm Transistors and its Applications in Predicting Chip-level Function Failure after ESD-CDM Events Chen, T., W., Ito, C., Loh, W., Wang, W., Mitra, S., Dutton, R., W. 2007
  • Soft Errors: Technology Trends, System Effects and Protection Techniques Mitra, S., Sanda, P., Seifert, N. 2007
  • Carbon Nanotube Transistor Circuits: Circuit-level Performance Benchmarking and Design Options for Living with Imperfections Deng, J., Patil, N., Ryu, K., Badmaev, A., Zhou, C., Mitra, S. 2007
  • California scan architecture for high quality and low power testing IEEE International Test Conference Cho, K. Y., Mitra, S., McCluskey, E. J. IEEE. 2007: 687–696
  • Built-in soft error resilience for robust system design IEEE International Conference on Integrated Circuit Design and Technology Mitra, S., Zhang, M., Seifert, N., Mak, T. M., Kim, K. S. IEEE. 2007: 263–268
  • Circuit failure prediction enables robust system design resilient to aging and wearout 13th IEEE International On-Line Testing Symposium Mitra, S. IEEE COMPUTER SOC. 2007: 123–123
  • Erratic bit errors in latches 45th Annual IEEE International Reliability Physics Symposium Relangi, P., Mitra, S. IEEE. 2007: 445–451
  • Circuit failure prediction and its application to transistor aging 25th IEEE VLSI Test Symposium Agarwal, M., Paul, B. C., Zhang, M., Mitra, S. IEEE COMPUTER SOC. 2007: 277–284
  • Soft errors: Technology trends, system effects, and protection techniques 13th IEEE International On-Line Testing Symposium Mitra, S., Sanda, P., Seifert, N. IEEE COMPUTER SOC. 2007: 4–4
  • Circuit failure prediction to overcome scaled CMOS reliability challenges IEEE International Test Conference Mitra, S., Agarwal, M. IEEE. 2007: 1000–1002
  • Macro-model for post-breakdown 90nm and 130nm transistors and its applications in predicting chip-level function failure after ESD-CDM events 45th Annual IEEE International Reliability Physics Symposium Chen, T. W., Ito, C., Loh, W., Wang, W., Mitra, S., Duttona, R. W. IEEE. 2007: 78–85
  • Sequential element design with built-in soft error resilience IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Zhang, M., Mitra, S., Mak, T. M., Seifert, N., Wang, N. J., Shi, Q., Kim, K. S., Shanbhag, N. R., Patel, S. J. 2006; 14 (12): 1368-1378
  • Joint LIGO and TAMA300 search for gravitational waves from inspiralling neutron star binaries PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ageev, A., Agresti, J., Ajith, P., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Asiri, F., Aufmuth, P., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barnes, M., Barr, B., Barton, M. A., Bayer, K., Beausoleil, R., Belczynski, K., Bennett, R., Berukoff, S. J., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bochner, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgess, R., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castiglione, J., Chandler, A., Chapsky, J., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chickarmane, V., Chin, D., Christensen, N., Churches, D., Cokelaer, T., Colacino, C., Coldwell, R., Coles, M., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Csatorday, P., Cusack, B. J., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Delker, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Di Credico, A., Diaz, M., Ding, H., Drever, R. W., Dupuis, R. J., Edlund, J. A., Ehrens, P., Elliffe, E. J., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fallnich, C., Farnham, D., Fejer, M. M., Findley, T., Fine, M., Finn, L. S., Franzen, K. Y., Freise, A., Frey, R., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Giaime, J. A., Gillespie, A., Goda, K., Goggin, L., Gonzalez, G., Gossler, S., Grandclement, P., Grant, A., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E., Gustafson, R., Hamilton, W. O., Hammond, M., Hanna, C., Hanson, J., Hardham, C., Harms, J., Harry, G., Hartunian, A., Heefner, J., Hefetz, Y., Heinzel, G., Heng, I. S., Hennessy, M., Hepler, N., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hoang, P., Hough, J., Hrynevych, M., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, L., Jungwirth, D., Kalogera, V., Katsavounidis, E., Kawabe, K., Kells, W., Kern, J., Khan, A., Killbourn, S., Killow, C. J., Kim, C., King, C., King, P., Klimenko, S., Koranda, S., Koetter, K., Kovalik, J., Kozak, D., Krishnan, B., Landry, M., Langdale, J., Lantz, B., Lawrence, R., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Liu, S., Logan, J., Lormand, M., Lubinski, M., Lueck, H., Luna, M., Lyons, T. T., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majid, W., Malec, M., Mandic, V., Mann, F., Marin, A., Marka, S., Maros, E., Mason, J., Mason, K., Matherny, O., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Nayak, R., Newton, G., Nocera, F., Noel, J. S., Nutzman, P., Olson, T., O'Reilly, B., Ottaway, D. J., Ottewill, A., Ouimette, D., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Plissi, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rao, S. R., Rawlins, K., Ray-Majumder, S., Re, V., Redding, D., Regehr, M. W., Regimbau, T., Reid, S., Reilly, K. T., Reithmaier, K., Reitze, D. H., Richman, S., Riesen, R., Riles, K., Rivera, B., Rizzi, A., Robertson, D. I., Robertson, N. A., Robinson, C., Robison, L., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rong, H., Rose, D., Rotthoff, E., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Salzman, I., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schlaufman, K., Schmidt, V., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seel, S., Seifert, F., Sellers, D., Sengupta, A. S., Shapiro, C. A., Shawhan, P., Shoemaker, D. H., Shu, Q. Z., Sibley, A., Siemens, X., Sievers, L., Sigg, D., Sintes, A. M., Smith, J. R., Smith, M., Smith, M. R., Sneddon, P. H., Spero, R., Spjeld, O., Stapfer, G., Steussy, D., Strain, K. A., Strom, D., Stuver, A., Summerscales, T., Sumner, M. C., Sung, M., Sutton, P. J., Sylvestre, J., Tanner, D. B., Tariq, H., Tarallo, M., Taylor, I., Taylor, R., Taylor, R., Thorne, K. A., Thorne, K. S., Tibbits, M., Tilav, S., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Walther, H., Ward, H., Ward, R., Ware, B., Watts, K., Webber, D., Weidner, A., Weiland, U., Weinstein, A., Weiss, R., Welling, H., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, P. R., WILLIAMS, R., Willke, B., Wilson, A., Winjum, B. J., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yoshida, S., Zaleski, K. D., Zanolin, M., Zawischa, I., Zhang, L., Zhu, R., Zotov, N., Zucker, M., Zweizig, J., Akutsu, T., Akutsu, T., Ando, M., Arai, K., ARAYA, A., Asada, H., Aso, Y., Beyersdorf, P., Fujiki, Y., Fujimoto, M., Fujita, R., Fukushima, M., Futamase, T., Hamuro, Y., Haruyama, T., Hayama, K., Iguchi, H., Iida, Y., Ioka, K., Ishitsuka, H., Kamikubota, N., Kanda, N., Kaneyama, T., Karasawa, Y., Kasahara, K., Kasai, T., Katsuki, M., Kawamura, S., Kawamura, M., Kawazoe, F., Kojima, Y., Kokeyama, K., Kondo, K., Kozai, Y., Kudoh, H., Kuroda, K., Kuwabara, T., Matsuda, N., Mio, N., Miura, K., Miyama, S., Miyoki, S., Mizusawa, H., Moriwaki, S., Musha, M., Nagayama, Y., Nakagawa, K., Nakamura, T., Nakano, H., Nakao, K., Nishi, Y., Numata, K., Ogawa, Y., Ohashi, M., Ohishi, N., Okutomi, A., Oohara, K., Otsuka, S., Saito, Y., Sakata, S., Sasaki, M., Sato, N., Sato, S., Sato, Y., Sato, K., Sekido, A., Seto, N., Shibata, M., Shinkai, H., Shintomi, T., Soida, K., Somiya, K., Suzuki, T., Tagoshi, H., Takahashi, H., Takahashi, R., Takamori, A., Takemoto, S., Takeno, K., Tanaka, T., Taniguchi, K., Tanji, T., Tatsumi, D., Telada, S., Tokunari, M., Tomaru, T., Tsubono, K., Tsuda, N., Tsunesada, Y., Uchiyama, T., Ueda, K., Ueda, A., Waseda, K., Yamamoto, A., Yamamoto, K., Yamazaki, T., Yanagi, Y., Yokoyama, J., Yoshida, T., Zhu, Z. 2006; 73 (10)
  • Search for gravitational-wave bursts in LIGO's third science run 6th Edoardo Amaldi Conference on Gravitational Waves Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Bantilan, H., Barish, B. C., Barker, C., Barker, D., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Buonanno, A., Busby, D., Butler, W. E., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Charlton, P., Chatterji, S., Chen, Y., Chin, D., Christensen, N., Cokelaer, T., Colacino, C. N., Coldwell, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Dergachev, V., Desai, S., DeSalvo, R., Dhurandar, S., Diaz, M., Di Credico, A., Drever, R. W., Dupuis, R. J., Ehrens, P., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Finn, L. S., Franzen, K. Y., Frey, R. E., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Goda, K., Goggin, L., Gonzalez, G., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hamilton, W. O., Hanna, C., Hanson, J., Hardham, C., Harry, G., Heefner, J., Heng, I. S., Hewitson, M., Hindman, N., Hoang, P., Hough, J., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, L., Kalogera, V., Katsavounidis, E., Kawabe, K., Kawamura, S., Kells, W., Khan, A., Kim, C., King, P., Klimenko, S., Koranda, S., Kozak, D., Krishnan, B., Landry, M., Lantz, B., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Lindquist, P., Liu, S., Lormand, M., Lubinski, M., Lueck, H., Luna, M., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marka, S., Maros, E., Mason, K., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Myers, E., Myers, J., Nash, T., Nocera, F., Noel, J. S., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, D. I., Robertson, N. A., Robinson, C., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rowan, S., Ruediger, A., Ruet, L., Russell, P., Ryan, K., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B. S., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Smith, J., Smith, M. R., Spjeld, O., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T., Sung, M., Sutton, P. J., Tanner, D. B., Tarallo, M., Taylor, R., Thorne, K. A., Thorne, K. S., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weiland, U., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Willke, B., Wilson, A., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yoshida, S., Zanolin, M., Zhang, L., Zotov, N., Zucker, M., Zweizig, J. IOP PUBLISHING LTD. 2006: S29–S39
  • Search for gravitational waves from binary black hole inspirals in LIGO data PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ageev, A., Agresti, J., Ajith, P., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Asiri, F., Aufmuth, P., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barnes, M., Barr, B., Barton, M. A., Bayer, K., Beausoleil, R., Belczynski, K., Bennett, R., Berukoff, S. J., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bochner, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgess, R., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castiglione, J., Chandler, A., Chapsky, J., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chickarmane, V., Chin, D., Christensen, N., Churches, D., Cokelaer, T., Colacino, C., Coldwell, R., Coles, M., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Csatorday, P., Cusack, B. J., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Delker, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Di Credico, A., Diaz, M., Ding, H., Drever, R. W., Dupuis, R. J., Edlund, J. A., Ehrens, P., Elliffe, E. J., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fallnich, C., Farnham, D., Fejer, M. M., Findley, T., Fine, M., Finn, L. S., Franzen, K. Y., Freise, A., Frey, R., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Giaime, J. A., Gillespie, A., Goda, K., Goggin, L., Gonzalez, G., Gossler, S., Grandclement, P., Grant, A., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E., Gustafson, R., Hamilton, W. O., Hammond, M., Hanna, C., Hanson, J., Hardham, C., Harms, J., Harry, G., Hartunian, A., Heefner, J., Hefetz, Y., Heinzel, G., Heng, I. S., Hennessy, M., Hepler, N., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hoang, P., Hough, J., Hrynevych, M., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, L., Jungwirth, D., Kalogera, V., Katsavounidis, E., Kawabe, K., Kawamura, S., Kells, W., Kern, J., Khan, A., Killbourn, S., Killow, C. J., Kim, C., King, C., King, P., Klimenko, S., Koranda, S., Kotter, K., Kovalik, J., Kozak, D., Krishnan, B., Landry, M., Langdale, J., Lantz, B., Lawrence, R., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Liu, S., Logan, J., Lormand, M., Lubinski, M., Luck, H., Luna, M., Lyons, T. T., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majid, W., Malec, M., Mandic, V., Mann, F., Marin, A., Marka, S., Maros, E., Mason, J., Mason, K., Matherny, O., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Miyoki, S., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Nayak, R., Newton, G., Nocera, F., Noel, J. S., Nutzman, P., Olson, T., O'Reilly, B., Ottaway, D. J., Ottewill, A., Ouimette, D., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Plissi, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rao, S. R., Rawlins, K., Ray-Majumder, S., Re, V., Redding, D., Regehr, M. W., Regimbau, T., Reid, S., Reilly, K. T., Reithmaier, K., Reitze, D. H., Richman, S., Riesen, R., Riles, K., Rivera, B., Rizzi, A., Robertson, D. I., Robertson, N. A., Robinson, C., Robison, L., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rong, H., Rose, D., Rotthoff, E., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Salzman, I., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schlaufman, K., Schmidt, V., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seel, S., Seifert, F., Sellers, D., Sengupta, A. S., Shapiro, C. A., Shawhan, P., Shoemaker, D. H., Shu, Q. Z., Sibley, A., Siemens, X., Sievers, L., Sigg, D., Sintes, A. M., Smith, J. R., Smith, M., Smith, M. R., Sneddon, P. H., Spero, R., Spjeld, O., Stapfer, G., Steussy, D., Strain, K. A., Strom, D., Stuver, A., Summerscales, T., Sumner, M. C., Sung, M., Sutton, P. J., Sylvestre, J., Takamori, A., Tanner, D. B., Tarallo, M., Tariq, H., Taylor, I., Taylor, R., Taylor, R., Thorne, K. A., Thorne, K. S., Tibbits, M., Tilav, S., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Walther, H., Ward, H., Ward, R., Ware, B., Watts, K., Webber, D., Weidner, A., Weiland, U., Weinstein, A., Weiss, R., Welling, H., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, P. R., WILLIAMS, R., Willke, B., Wilson, A., Winjum, B. J., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yoshida, S., Zaleski, K. D., Zanolin, M., Zawischa, I., Zhang, L., Zhu, R., Zotov, N., Zucker, M., Zweizig, J. 2006; 73 (6)
  • How to safeguard your sensitive data 25th IEEE Symposium on Reliable Distributed Systems Mungamuru, B., Garcia-Molina, H., Mitra, S. IEEE COMPUTER SOC. 2006: 199–211
  • Soft Errors: Technology Trends, System Effects and Protection Techniques Mitra, S., Sanda, P., Seifert, N. 2006
  • XPAND: An Efficient Test Stimulus Compression Technique IEEE Trans. Computers, Special Issue on System-on-Chip Design and Test Mitra, S., Kim, K., S. 2006
  • Comparison of Test Metrics: Stuck-at, N-Detect and Gate-Exhaustive Guo, R., Mitra, S., Lee, J., Sivaraj, S., Ameen, M. 2006
  • Radiation Induced Soft Error Rates of Advanced CMOS Bulk Devices Seifert, N., Slankard, P., Kirsch, M., Narasimham, B., Zia, V., Brookreson, C., Mitra, S. 2006
  • Designing Circuits with Carbon Nanotubes: Open Questions and Some Directions Deng, J., Patil, N., P., Mitra, S., Wong, H., S.P. 2006
  • Signature analyzer design for yield learning support IEEE International Test Conference Patil, N. P., Mitra, S., Lumetta, S. S. IEEE. 2006: 255–264
  • Combinational logic soft error correction IEEE International Test Conference Mitra, S., Zhang, M., Waqas, S., Seifert, N., Gill, B., Kim, K. S. IEEE. 2006: 824–832
  • Soft error resilient system design through error correction International Conference on Very Large Scale Integration and System-on-Chip Mitra, S., Zhang, M., Seifert, N., Mak, T. M., Kim, K. S. IFIP-INT FEDERATION INFORMATION PROCESSING. 2006: 332–337
  • Upper limits from the LIGO and TAMA detectors on the rate of gravitational-wave bursts PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ageev, A., Agresti, J., Ajith, P., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Asiri, F., Aufmuth, P., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barnes, M., Barr, B., Barton, M. A., Bayer, K., Beausoleil, R., Belczynski, K., Bennett, R., Berukoff, S. J., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bochner, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgess, R., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cao, J., Cardenas, L., Carter, K., Casey, M. M., Castiglione, J., Chandler, A., Chapsky, J., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chickarmane, V., Chin, D., Christensen, N., Churches, D., Cokelaer, T., Colacino, C., Coldwell, R., Coles, M., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Csatorday, P., Cusack, B. J., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Delker, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Di Credico, A., Diaz, M., Ding, H., Drever, R. W., Dupuis, R. J., Edlund, J. A., Ehrens, P., Elliffe, E. J., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fallnich, C., Farnham, D., Fejer, M. M., Findley, T., Fine, M., Finn, L. S., Franzen, K. Y., Freise, A., Frey, R., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Giaime, J. A., Gillespie, A., Goda, K., Goggin, L., Gonzalez, G., Gossler, S., Grandclement, P., Grant, A., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E., Gustafson, R., Hamilton, W. O., Hammond, M., Hanna, C., Hanson, J., Hardham, C., Harms, J., Harry, G., Hartunian, A., Heefner, J., Hefetz, Y., Heinzel, G., Heng, I. S., Hennessy, M., Hepler, N., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hoang, P., Hough, J., Hrynevych, M., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, L., Jungwirth, D., Kalogera, V., Katsavounidis, E., Kawabe, K., Kells, W., Kern, J., Khan, A., Killbourn, S., Killow, C. J., Kim, C., King, C., King, P., Klimenko, S., Koranda, S., Kotter, K., Kovalik, J., Kozak, D., Krishnan, B., Landry, M., Langdale, J., Lantz, B., Lawrence, R., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Liu, S., Logan, J., Lormand, M., Lubinski, M., Luck, H., Luna, M., Lyons, T. T., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majid, W., Malec, M., Mandic, V., Mann, F., Marin, A., Marka, S., Maros, E., Mason, J., Mason, K., Matherny, O., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Nayak, R., Newton, G., Nocera, F., Noel, J. S., Nutzman, P., Olson, T., O'Reilly, B., Ottaway, D. J., Ottewill, A., Ouimette, D., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Plissi, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rao, S. R., Rawlins, K., Ray-Majumder, S., Re, V., Redding, D., Regehr, M. W., Regimbau, T., Reid, S., Reilly, K. T., Reithmaier, K., Reitze, D. H., Richman, S., Riesen, R., Riles, K., Rivera, B., Rizzi, A., Robertson, D. I., Robertson, N. A., Robinson, C., Robison, L., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rong, H., Rose, D., Rotthoff, E., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Salzman, I., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schlaufman, K., Schmidt, V., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seel, S., Seifert, F., Sellers, D., Sengupta, A. S., Shapiro, C. A., Shawhan, P., Shoemaker, D. H., Shu, Q. Z., Sibley, A., Siemens, X., Sievers, L., Sigg, D., Sintes, A. M., Smith, J. R., Smith, M., Smith, M. R., Sneddon, P. H., Spero, R., Spjeld, O., Stapfer, G., Steussy, D., Strain, K. A., Strom, D., Stuver, A., Summerscales, T., Sumner, M. C., Sung, M., Sutton, P. J., Sylvestre, J., Tanner, D. B., Tariq, H., Tarallo, M., Taylor, I., Taylor, R., Taylor, R., Thorne, K. A., Thorne, K. S., Tibbits, M., Tilav, S., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Walther, H., Ward, H., Ward, R., Ware, B., Watts, K., Webber, D., Weidner, A., Weiland, U., Weinstein, A., Weiss, R., Welling, H., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, P. R., WILLIAMS, R., Willke, B., Wilson, A., Winjum, B. J., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yoshida, S., Zaleski, K. D., Zanolin, M., Zawischa, I., Zhang, L., Zhu, R., Zotov, N., Zucker, M., Zweizig, J., Akutsu, T., Akutsu, T., Ando, M., Arai, K., ARAYA, A., Asada, H., Aso, Y., Beyersdorf, P., Fujiki, Y., Fujimoto, M. K., Fujita, R., Fukushima, M., Futamase, T., Hamuro, Y., Haruyama, T., Hayama, K., Iguchi, H., Iida, Y., Ioka, K., Ishizuka, H., Kamikubota, N., Kanda, N., Kaneyama, T., Karasawa, Y., Kasahara, K., Kasai, T., Katsuki, M., Kawamura, S., Kawamura, M., Kawazoe, F., Kojima, Y., Kokeyama, K., Kondo, K., Kozai, Y., Kudoh, H., Kuroda, K., Kuwabara, T., Matsuda, N., Mio, N., Miura, K., Miyama, S., Miyoki, S., Mizusawa, H., Moriwaki, S., Musha, M., Nagayama, Y., Nakagawa, K., Nakamura, T., Nakano, H., Nakao, K., Nishi, Y., Numata, K., Ogawa, Y., Ohashi, M., Ohishi, N., Okutomi, A., Oohara, K., Otsuka, S., Saito, Y., Sakata, S., Sasaki, M., Sato, N., Sato, S., Sato, Y., Sato, K., Sekido, A., Seto, N., Shibata, M., Shinkai, H., Shintomi, T., Soida, K., Somiya, K., Suzuki, T., Tagoshi, H., Takahashi, H., Takahashi, R., Takamori, A., Takemoto, S., Takeno, K., Tanaka, T., Taniguchi, K., Tanji, T., Tatsumi, D., Telada, S., Tokunari, M., Tomaru, T., Tsubono, K., Tsuda, N., Tsunesada, Y., Uchiyama, T., Ueda, K., Ueda, A., Waseda, K., Yamamoto, A., Yamamoto, K., Yamazaki, T., Yanagi, Y., Yokoyama, J., Yoshida, T., Zhu, Z. H. 2005; 72 (12)
  • Upper limits on a stochastic background of gravitational waves PHYSICAL REVIEW LETTERS Abbott, B., Abbott, R., Adhikari, R., Agresti, J., Ajith, P., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barton, M. A., Bayer, K., Belczynski, K., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Buonanno, A., Busby, D., Butler, W. E., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cardenas, L., Carter, K., Casey, M. M., Charlton, P., Chatterji, S., Chen, Y., Chin, D., Christensen, N., Cokelaer, T., Colacino, C. N., Coldwell, R., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., DeBra, D., Dergachev, V., Desai, S., DeSalvo, R., Dhurandar, S., Diaz, M., Di Credico, A., Drever, R. W., Dupuis, R. J., Ehrens, P., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Finn, L. S., Franzen, K. Y., Frey, R. E., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Gholami, I., Giaime, J. A., Goda, K., Goggin, L., Gonzalez, G., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, R., Hamilton, W. O., Hanna, C., Hanson, J., Hardham, C., Harry, G., Heefner, J., Heng, I. S., Hewitson, M., Hindman, N., Hoang, P., Hough, J., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Johnson, B., Johnson, W. W., Jones, D. I., Jones, G., Jones, L., Kalogera, V., Katsavounidis, E., Kawabe, K., Kawamura, S., Kells, W., Khan, A., Kim, C., King, P., Klimenko, S., Koranda, S., Kozak, D., Krishnan, B., Landry, M., Lantz, B., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Lindquist, P., Liu, S., Lormand, M., Lubinski, M., Luck, H., Luna, M., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Malec, M., Mandic, V., Marka, S., Maros, E., Mason, K., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Myers, E., Myers, J., Nash, T., Nocera, F., Noel, J. S., O'Reilly, B., O'Shaughnessy, R., Ottaway, D. J., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rawlins, K., Ray-Majumder, S., Re, V., Regimbau, T., Reitze, D. H., Riesen, R., Riles, K., Rivera, B., Robertson, D. I., Robertson, N. A., Robinson, C., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B. S., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Sellers, D., Sengupta, A. S., Shawhan, P., Shoemaker, D. H., Sibley, A., Siemens, X., Sigg, D., Sintes, A. M., Smith, J., Smith, M. R., Spjeld, O., Strain, K. A., Strom, D. M., Stuver, A., Summerscales, T., Sung, M., Sutton, P. J., Tanner, D. B., Taylor, R., Thorne, K. A., Thorne, K. S., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Ward, H., Ward, R., Watts, K., Webber, D., Weiland, U., Weinstein, A., Weiss, R., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Willke, B., Wilson, A., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Yakushin, I., Yamamoto, H., Yoshida, S., Zanolin, M., Zhang, L., Zotov, N., Zucker, M., Zweizig, J. 2005; 95 (22)

    Abstract

    The Laser Interferometer Gravitational-Wave Observatory has performed a third science run with much improved sensitivities of all three interferometers. We present an analysis of approximately 200 hours of data acquired during this run, used to search for a stochastic background of gravitational radiation. We place upper bounds on the energy density stored as gravitational radiation for three different spectral power laws. For the flat spectrum, our limit of omega0 < 8.4 x 10(-4) in the 69-156 Hz band is approximately 10(5) times lower than the previous result in this frequency range.

    View details for DOI 10.1103/PhysRevLett.95.221101

    View details for Web of Science ID 000233458500007

    View details for PubMedID 16384203

  • Application-independent testing of FPGA interconnects IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Tahoori, M. B., Mitra, S. 2005; 24 (11): 1774-1783
  • X-tolerant test response compaction 10th IEEE European Test Symposium (ETS 2005) Mitra, S., Mitzenmacher, M., Lumetta, S. S., Patil, N. IEEE COMPUTER SOC. 2005: 566–74
  • First all-sky upper limits from LIGO on the strength of periodic gravitational waves using the Hough transform PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ageev, A., Agresti, J., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Asiri, F., Aufmuth, P., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barnes, M., Barr, B., Barton, M. A., Bayer, K., Beausoleil, R., Belczynski, K., Bennett, R., Berukoff, S. J., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bochner, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgess, R., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cardenas, L., Carter, K., Casey, M. M., Castiglione, J., Chandler, A., Chapsky, J., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chickarmane, V., Chin, D., Christensen, N., Churches, D., Cokelaer, T., Colacino, C., Coldwell, R., Coles, M., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Csatorday, P., Cusack, B. J., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Delker, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Credico, A. D., Diaz, M., Ding, H., Drever, R. W., Dupuis, R. J., Edlund, J. A., Ehrens, P., Elliffe, E. J., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fallnich, C., Farnham, D., Fejer, M. M., Findley, T., Fine, M., Finn, L. S., Franzen, K. Y., Freise, A., Frey, R., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Giaime, J. A., Gillespie, A., Goda, K., Goggin, L., Gonzalez, G., Gossler, S., Grandclement, P., Grant, A., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E., Gustafson, R., Hamilton, W. O., Hammond, M., Hanson, J., Hardham, C., Harms, J., Harry, G., Hartunian, A., Heefner, J., Hefetz, Y., Heinzel, G., Heng, I. S., Hennessy, M., Hepler, N., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hoang, P., Hough, J., Hrynevych, M., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, L., Jungwirth, D., Kalogera, V., Katsavounidis, E., Kawabe, K., Kawamura, S., Kells, W., Kern, J., Khan, A., Killbourn, S., Killow, C. J., Kim, C., King, C., King, P., Klimenko, S., Koranda, S., Kotter, K., Kovalik, J., Kozak, D., Krishnan, B., Landry, M., Langdale, J., Lantz, B., Lawrence, R., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Liu, S., Logan, J., Lormand, M., Lubinski, M., Luck, H., Luna, M., Lyons, T. T., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majid, W., Malec, M., Mandic, V., Mann, F., Marin, A., Marka, S., Maros, E., Mason, J., Mason, K., Matherny, O., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., McNabb, J. W., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Miyoki, S., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Nayak, R., Newton, G., Nocera, F., Noel, J. S., Nutzman, P., Olson, T., O'Reilly, B., Ottaway, D. J., Ottewill, A., Ouimette, D., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Parameswaran, A., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Plissi, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rao, S. R., Rawlins, K., Ray-Majumder, S., Re, V., Redding, D., Regehr, M. W., Regimbau, T., Reid, S., Reilly, K. T., Reithmaier, K., Reitze, D. H., Richman, S., Riesen, R., Riles, K., Rivera, B., Rizzi, A., Robertson, D. I., Robertson, N. A., Robinson, C., Robison, L., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rong, H., Rose, D., Rotthoff, E., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Salzman, I., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schlaufman, K., Schmidt, V., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seel, S., Seifert, F., Sellers, D., Sengupta, A. S., Shapiro, C. A., Shawhan, P., Shoemaker, D. H., Shu, Q. Z., Sibley, A., Siemens, X., Sievers, L., Sigg, D., Sintes, A. M., Smith, J. R., Smith, M., Smith, M. R., Sneddon, P. H., Spero, R., Spjeld, O., Stapfer, G., Steussy, D., Strain, K. A., Strom, D., Stuver, A., Summerscales, T., Sumner, M. C., Sung, M., Sutton, P. J., Sylvestre, J., Takamori, A., Tanner, D. B., Tariq, H., Taylor, I., Taylor, R., Taylor, R., Thorne, K. A., Thorne, K. S., Tibbits, M., Tilav, S., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Walther, H., Ward, H., Ward, R., Ware, B., Watts, K., Webber, D., Weidner, A., Weiland, U., Weinstein, A., Weiss, R., Welling, H., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, P. R., WILLIAMS, R., Willke, B., Wilson, A., Winjum, B. J., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yoshida, S., Zaleski, K. D., Zanolin, M., Zawischa, I., Zhang, L., Zhu, R., Zotov, N., Zucker, M., Zweizig, J. 2005; 72 (10)
  • Upper limits on gravitational wave bursts in LIGO's second science run PHYSICAL REVIEW D Abbott, B., Abbott, R., Adhikari, R., Ageev, A., Agresti, J., Allen, B., Allen, J., Amin, R., Anderson, S. B., Anderson, W. G., Araya, M., Armandula, H., Ashley, M., Asiri, F., Aufmuth, P., Aulbert, C., Babak, S., Balasubramanian, R., Ballmer, S., Barish, B. C., Barker, C., Barker, D., Barnes, M., Barr, B., Barton, M. A., Bayer, K., Beausoleil, R., Belczynski, K., Bennett, R., Berukoff, S. J., Betzwieser, J., Bhawal, B., Bilenko, I. A., Billingsley, G., Black, E., Blackburn, K., Blackburn, L., Bland, B., Bochner, B., Bogue, L., Bork, R., Bose, S., Brady, P. R., Braginsky, V. B., Brau, J. E., Brown, D. A., Bullington, A., Bunkowski, A., Buonanno, A., Burgess, R., Busby, D., Butler, W. E., Byer, R. L., Cadonati, L., Cagnoli, G., Camp, J. B., Cannizzo, J., Cannon, K., Cantley, C. A., Cardenas, L., Carter, K., Casey, M. M., Castiglione, J., Chandler, A., Chapsky, J., Charlton, P., Chatterji, S., Chelkowski, S., Chen, Y., Chickarmane, V., Chin, D., Christensen, N., Churches, D., Cokelaer, T., Colacino, C., Coldwell, R., Coles, M., Cook, D., Corbitt, T., Coyne, D., Creighton, J. D., Creighton, T. D., Crooks, D. R., Csatorday, P., Cusack, B. J., Cutler, C., Dalrymple, J., D'Ambrosio, E., Danzmann, K., Davies, G., Daw, E., DeBra, D., Delker, T., Dergachev, V., Desai, S., DeSalvo, R., Dhurandhar, S., Di Credico, A., Diaz, M., Ding, H., Drever, R. W., Dupuis, R. J., Edlund, J. A., Ehrens, P., Elliffe, E. J., Etzel, T., Evans, M., Evans, T., Fairhurst, S., Fallnich, C., Farnham, D., Fejer, M. M., Findley, T., Fine, M., Finn, L. S., Franzen, K. Y., Freise, A., Frey, R., Fritschel, P., Frolov, V. V., Fyffe, M., Ganezer, K. S., Garofoli, J., Giaime, J. A., Gillespie, A., Goda, K., Goggin, L., Gonzalez, G., Gossler, S., Grandclement, P., Grant, A., Gray, C., Gretarsson, A. M., Grimmett, D., GROTE, H., Grunewald, S., Guenther, M., Gustafson, E., Gustafson, R., Hamilton, W. O., Hammond, M., Hanson, J., Hardham, C., Harms, J., Harry, G., Hartunian, A., Heefner, J., Hefetz, Y., Heinzel, G., Heng, I. S., Hennessy, M., Hepler, N., Heptonstall, A., Heurs, M., Hewitson, M., Hild, S., Hindman, N., Hoang, P., Hough, J., Hrynevych, M., Hua, W., Ito, M., Itoh, Y., Ivanov, A., Jennrich, O., Johnson, B., Johnson, W. W., Johnston, W. R., Jones, D. I., Jones, G., Jones, L., Jungwirth, D., Kalogera, V., Katsavounidis, E., Kawabe, K., Kawamura, S., Kells, W., Kern, J., Khan, A., Killbourn, S., Killow, C. J., Kim, C., King, C., King, P., Klimenko, S., Koranda, S., Kotter, K., Kovalik, J., Kozak, D., Krishnan, B., Landry, M., Langdale, J., Lantz, B., Lawrence, R., Lazzarini, A., Lei, M., Leonor, I., Libbrecht, K., Libson, A., Lindquist, P., Liu, S., Logan, J., Lormand, M., Lubinski, M., Luck, H., Luna, M., Lyons, T. T., Machenschalk, B., MacInnis, M., Mageswaran, M., Mailand, K., Majid, W., Malec, M., Mandic, V., Mann, F., Marin, A., Marka, S., Maros, E., Mason, J., Mason, K., Matherny, O., Matone, L., Mavalvala, N., McCarthy, R., McClelland, D. E., McHugh, M., Melissinos, A., Mendell, G., Mercer, R. A., Meshkov, S., Messaritaki, E., Messenger, C., Mikhailov, E., Mitra, S., Mitrofanov, V. P., Mitselmakher, G., Mittleman, R., Miyakawa, O., Miyoki, S., Mohanty, S., Moreno, G., Mossavi, K., Mueller, G., Mukherjee, S., Murray, P., Myers, E., Myers, J., Nagano, S., Nash, T., Nayak, R., Newton, G., Nocera, F., Noel, J. S., Nutzman, P., Olson, T., O'Reilly, B., Ottaway, D. J., Ottewill, A., Ouimette, D., Overmier, H., Owen, B. J., Pan, Y., Papa, M. A., Parameshwaraiah, V., Ajith, P., Parameswariah, C., Pedraza, M., Penn, S., Pitkin, M., Plissi, M., Prix, R., Quetschke, V., Raab, F., Radkins, H., Rahkola, R., Rakhmanov, M., Rao, S. R., Rawlins, K., Ray-Majumder, S., Re, V., Redding, D., Regehr, M. W., Regimbau, T., Reid, S., Reilly, K. T., Reithmaier, K., Reitze, D. H., Richman, S., Riesen, R., Riles, K., Rivera, B., Rizzi, A., Robertson, D. I., Robertson, N. A., Robinson, C., Robison, L., Roddy, S., Rodriguez, A., Rollins, J., Romano, J. D., Romie, J., Rong, H., Rose, D., Rotthoff, E., Rowan, S., Rudiger, A., Ruet, L., Russell, P., Ryan, K., Salzman, I., Sandberg, V., Sanders, G. H., Sannibale, V., Sarin, P., Sathyaprakash, B., Saulson, P. R., Savage, R., Sazonov, A., Schilling, R., Schlaufman, K., Schmidt, V., Schnabel, R., Schofield, R., Schutz, B. F., Schwinberg, P., Scott, S. M., Seader, S. E., Searle, A. C., Sears, B., Seel, S., Seifert, F., Sellers, D., Sengupta, A. S., Shapiro, C. A., Shawhan, P., Shoemaker, D. H., Shu, Q. Z., Sibley, A., Siemens, X., Sievers, L., Sigg, D., Sintes, A. M., Smith, J. R., Smith, M., Smith, M. R., Sneddon, P. H., Spero, R., Spjeld, O., Stapfer, G., Steussy, D., Strain, K. A., Strom, D., Stuver, A., Summerscales, T., Sumner, M. C., Sung, M., Sutton, P. J., Sylvestre, J., Takamori, A., Tanner, D. B., Tariq, H., Taylor, I., Taylor, R., Taylor, R., Thorne, K. A., Thorne, K. S., Tibbits, M., Tilav, S., Tinto, M., Tokmakov, K. V., Torres, C., Torrie, C., Traylor, G., Tyler, W., Ugolini, D., Ungarelli, C., Vallisneri, M., van Putten, M., Vass, S., Vecchio, A., Veitch, J., Vorvick, C., Vyachanin, S. P., Wallace, L., Walther, H., Ward, H., Ward, R., Ware, B., Watts, K., Webber, D., Weidner, A., Weiland, U., Weinstein, A., Weiss, R., Welling, H., Wen, L., Wen, S., Wette, K., Whelan, J. T., Whitcomb, S. E., Whiting, B. F., Wiley, S., Wilkinson, C., Willems, P. A., Williams, P. R., WILLIAMS, R., Willke, B., Wilson, A., Winjum, B. J., Winkler, W., Wise, S., Wiseman, A. G., Woan, G., Woods, D., Wooley, R., Worden, J., Wu, W., Yakushin, I., Yamamoto, H., Yoshida, S., Zaleski, K. D., Zanolin, M., Zawischa, I., Zhang, L., Zhu, R., Zotov, N., Zucker, M., Zweizig, J. 2005; 72 (6)
  • Optimized reseeding by seed ordering and encoding IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Al-Yamani, A. A., Mitra, S., McCluskey, E. J. 2005; 24 (2): 264-270
  • Robust System Design from Unreliable Components Mitra, S., Spainhower, L., Narayanan, V., Xie, Y. 2005
  • Test Response Compression with Any Number of Unknowns Volkerink, E., Mitra, S. 2005
  • Robust Platform Design in Sub-65nm Technologies Leavins, D., J., Kim, K., S., Mitra, S., Rodriguez, E. 2005
  • Logic Soft Errors: A Major Barrier to Robust Platform Design Mitra, S., Zhang, M., Mak, T., M., Seifert, N., Zia, V., Kim, K., S. 2005
  • Fault Diagnosis with X-Compact Stanojevic, Z., Guo, R., Mitra, S., Venkataraman, S. 2005
  • Logic Soft Errors in Sub-65nm Technologies: Design and CAD Challenges Mitra, S., Karnik, T., Seifert, N., Zhang, M. 2005
  • Recent Advances in Hardware-Level Reliability Support for Transient Errors IEEE MICRO, Special Issue on the Reliability-Aware Microarchitectures Iyer, R., K., Nakka, N., Kalbarczyk, Z., Mitra, S. 2005
  • Application Independent Testing of FPGA Interconnects IEEE Trans. CAD Tahoori, M., Mitra, S. 2005
  • Robust System Design with Built-In Soft Error Resilience IEEE Computer Mitra, S., Seifert, N., Zhang, M., Shi, Q., Kim, K., S. 2005; 38 (2): 43-52
  • Testing Nanometer Integrated Circuits: Myths, Reality and the Road Ahead Mitra, S., Blanton, S. 2005
  • DFT Assisted Built-In Soft Error Resilience Mak, T., M., Mitra, S., Zhang, M. 2005
  • Built-In Soft Error Resilience Techniques Mitra, S. 2005
  • Built-In Soft Error Resilience Structures Mitra, S., Kim, K., S., Mak, T., M., Seifert, N., Shipley, P., Zhang, M. 2005
  • Gate Exhaustive Testing Cho, K., Y., Mitra, S., McCluskey, E., J. 2005
  • Enabling Yield Analysis with X-Compact Stanojevic, Z., Guo, R., Mitra, S., Venkataraman, S. 2005
  • Efficient design diversity estimation for combinational circuits IEEE TRANSACTIONS ON COMPUTERS Mitra, S., Saxena, N. R., McCluskey, E. J. 2004; 53 (11): 1483-1492
  • Reconfigurable architecture for autonomous self-repair IEEE DESIGN & TEST OF COMPUTERS Mitra, S., Huang, W. J., Saxena, N. R., Yu, S. Y., McCluskey, E. J. 2004; 21 (3): 228-240
  • Techniques and algorithms for fault grading of FPGA interconnect test configurations IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Taboori, M. B., Mitra, S. 2004; 23 (2): 261-272
  • Speed clustering of integrated circuits 35th International Test Conference Brand, K. A., Mitra, S., Volkerink, E., McCluskey, E. J. IEEE. 2004: 1128–1137
  • ELF-MURPHY Data on Defects and Test Sets McCluskey, E., J., Mitra et al., S. 2004
  • Elimination of System Test from Production Test Flow Johnson, P., Wu, D., Mitra, S., Venkataraman, S. 2004
  • Defect and Fault Tolerance for Reconfigurable Molecular Computing Tahoori, M., Mitra, S. 2004
  • Delay Defect Screening using Process Monitor Structures Mitra, S., Volkerink, E., McCluskey, E., J., Eichenberger, S. 2004
  • Xpand + X-Compact: What did we Learn? Mitra, S., Kim, K., S. 2004
  • Fault-Tolerance Encyclopedia on Computer Science and Engineering McCluskey, E., J., Mitra, S. CRC Press. 2004: 1
  • XPAND: Test Stimulus Compression for Intel Designs Mitra, S., Kim, K., S. 2004
  • X-Compact: An Efficient Response Compaction Technique IEEE Trans. Computer-Aided Design Mitra, S., Kim, K., S. 2004; 23 (`3): 421-432
  • Non-myeloablative conditioning with total lymphoid irradiation (TLI) and anti-thymocyte globulin (ATG) for allogeneic hematopoietic cell transplantation (HCT) results in high levels of regulatory natural killer T cells and low incidences of acute GVHD and tumor relapse. 45th Annual Meeting and Exhibition of the American-Society-of-Hematology Lowsky, R., Jones, S. D., Mitra, S., Shizuru, J. A., Laport, G. G., Stockerl-Goldstein, K., JOHNSTON, L. J., Stuart, M. J., Herzenberg, L. A., Hoppe, R. T., Blume, K. G., Negrin, R. S., Strober, S. AMER SOC HEMATOLOGY. 2003: 152A–153A
  • Test data compression IEEE DESIGN & TEST OF COMPUTERS McCluskey, E. J., Burek, D., Koenemann, B., Mitra, S., Patel, J., Rajski, J., Waicukauski, J. 2003; 20 (2): 76-87
  • Automatic configuration generation for FPGA interconnect testing 21st IEEE VLSI Test Symposium Tahoori, M. B., Mitra, S. IEEE COMPUTER SOC. 2003: 134–139
  • XMAX: X-Tolerant Architecture for Maximal Test Compression Mitra, S., Kim, K., S. 2003
  • Design for Guaranteed Test Stimulus Compression Mitra, S., Kim, K., S., Parrish, G., C. 2003
  • Analysis of X-Compact for Intel ASIC Designs Mitra, S., Kallepalli, S., Kim, K., S. 2003
  • H-DFT: A Hybrid DFT Architecture for Low-Cost High Quality Structural Testing Wu, D., Lin, M., Mitra et al., S. 2003
  • Soft Errors in Digital Logic Mitra, S., Nguyen, H., Tam, N., Kim, K., S. 2003
  • Robust System Design Hotchips Mitra, S. 2003
  • Delay Defect Characteristics and Testing Strategies IEEE Design and Test of Computers, Special Issue on Speed Test and Speed Binning of Complex ICs Kim, K., S., Mitra, S., Ryan, P., G. 2003; 20 (5): 8-16
  • BIST reseeding with very few seeds 21st IEEE VLSI Test Symposium Al-Yamani, A. A., Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2003: 69–74
  • Efficient seed utilization for reseeding based compression 21st IEEE VLSI Test Symposium Volkerink, E. H., Mitra, S. IEEE COMPUTER SOC. 2003: 232–237
  • A design diversity metric and analysis of redundant systems IEEE TRANSACTIONS ON COMPUTERS Mitra, S., Saxena, N. R., McCluskey, E. J. 2002; 51 (5): 498-510
  • (EDI)-I-4: Error detection by diverse data and duplicated instructions IEEE TRANSACTIONS ON COMPUTERS Oh, N., Mitra, S., McCluskey, E. J. 2002; 51 (2): 180-199
  • Testing digital circuits with constraints 17th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Al-Yamani, A. A., Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2002: 195–203
  • Efficient Response Compaction Mitra, S., Kim, K., S. 2002
  • Dependable Reconfigurable Computing: Design Diversity and Self-Repair Mitra, S., McCluskey, E., J. 2002
  • X-Compact: An Efficient Response Compaction Technique for Test Cost Reduction Mitra, S., Kim, K., S. 2002
  • Design for Testability and Testing of IEEE 1149.1 TAP Controller Mitra, S., McCluskey, E., J., Makar, S. 2002
  • Packet Based Test Vector Compression Techniques Volkerink, E., Mitra, S., Khoche, A. 2002
  • ED4I: Error Detection by Diverse Data and Duplicated Instructions IEEE Trans. on Computers, Special Issue on Fault-Tolerant Embedded Systems Oh, N., S., Mitra, S., McCluskey, E., J. 2002; 51 (2): 180-199
  • Packet-based input test data compression techniques International Test Conference Volkerink, E. H., Khoche, A., Mitra, S. IEEE. 2002: 154–163
  • Fault grading FPGA interconnect test configurations International Test Conference Tahoori, M. B., Mitra, S., Toutounchi, S., McCluskey, E. J. IEEE. 2002: 608–617
  • Diversity techniques for concurrent error detection IEEE 2nd International Symposium on Quality Electronic Design (ISQED 2001) Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2001: 249–250
  • Fast Run-Time Fault Location for Dependable FPGA Applications Huang, W, J., Mitra, S., McCluskey, E., J. 2001
  • An Evaluation of Pseudo-Random Testing for Detecting Real Defects Tseng, C., W., Mitra, S., McCluskey, E., J., Davidson, S. 2001
  • Design of redundant systems protected against common-mode failures 19th IEEE VLSI Test Symposium (VTS 2001) Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2001: 190–195
  • Design diversity for concurrent error detection in sequential logic circuits 19th IEEE VLSI Test Symposium (VTS 2001) Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2001: 178–183
  • Techniques for estimation of design diversity for combinational logic circuits International Conference on Dependable Systems and Networks (DSN 2001) Mitra, S., Saxena, N. R., McCluskey, E. J. IEEE COMPUTER SOC. 2001: 25–34
  • Fast run-time fault location in dependable FPGA-based applications DFT/IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems Huang, W. J., Mitra, S., McCluskey, E. J. IEEE COMPUTER SOC. 2001: 206–214
  • Efficient multiplexer synthesis techniques IEEE DESIGN & TEST OF COMPUTERS Mitra, S., Awa, L. J., McCluskey, E. J. 2000; 17 (4): 90-97
  • Common-mode failures in redundant VLSI systems: A survey IEEE TRANSACTIONS ON RELIABILITY Mitra, S., Saxena, N. R., McCluskey, E. J. 2000; 49 (3): 285-295
  • alpha(2C)-adrenoceptors and cold-induced vasospasm. Morrison, K. J., Chotani, M. A., Flavahan, S., Mitra, S., Gregg, H. E., Daunt, D. A., Flavahan, N. A. FEDERATION AMER SOC EXP BIOL. 2000: A1315–A1315
  • Silent alpha(2C)-adrenergic receptors enable cold-induced vasoconstriction in cutaneous arteries AMERICAN JOURNAL OF PHYSIOLOGY-HEART AND CIRCULATORY PHYSIOLOGY Chotani, M. A., Flavahan, S., Mitra, S., Daunt, D., Flavahan, N. A. 2000; 278 (4): H1075-H1083

    Abstract

    Cold constricts cutaneous blood vessels by increasing the reactivity of smooth muscle alpha(2)-adrenergic receptors (alpha(2)-ARs). Experiments were performed to determine the role of alpha(2)-AR subtypes (alpha(2A)-, alpha(2B)-, alpha(2C)-ARs) in this response. Stimulation of alpha(1)-ARs by phenylephrine or alpha(2)-ARs by UK-14,304 caused constriction of isolated mouse tail arteries mounted in a pressurized myograph system. Compared with proximal arteries, distal arteries were more responsive to alpha(2)-AR activation but less responsive to activation of alpha(1)-ARs. Cold augmented constriction to alpha(2)-AR activation in distal arteries but did not affect the response to alpha(1)-AR stimulation or the level of myogenic tone. Western blot analysis demonstrated expression of alpha(2A)- and alpha(2C)-ARs in tail arteries: expression of alpha(2C)-ARs decreased in distal compared with proximal arteries, whereas expression of the glycosylated form of the alpha(2A)-AR increased in distal arteries. At 37 degrees C, alpha(2)-AR-induced vasoconstriction in distal arteries was inhibited by selective blockade of alpha(2A)-ARs (BRL-44408) but not by selective inhibition of alpha(2B)-ARs (ARC-239) or alpha(2C)-ARs (MK-912). In contrast, during cold exposure (28 degrees C), the augmented response to UK-14,304 was inhibited by the alpha(2C)-AR antagonist MK-912, which selectively abolished cold-induced amplification of the response. These experiments indicate that cold-induced amplification of alpha(2)-ARs is mediated by alpha(2C)-ARs that are normally silent in these cutaneous arteries. Blockade of alpha(2C)-ARs may prove an effective treatment for Raynaud's Phenomenon.

    View details for Web of Science ID 000086365600010

    View details for PubMedID 10749700

  • Combinational logic synthesis for diversity in duplex systems International Test Conference Mitra, S., McCluskey, E. J. IEEE. 2000: 179–188
  • Fault Escapes in Duplex Systems Mitra, S., Saxena, N., McCluskey, E., J. 2000
  • Dependable Computing and On-Line Testing in Adaptive and Reconfigurable Systems IEEE Design and Test of Computers, Special Issue on Reconfigurable Computing Saxena, M., R., Gomez, S., Huang, W., Mitra, S., Yu, S., McCluskey, E., J. 2000; 17 (1): 29-41
  • DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits Shirvani, P., Mitra, S., Ebergen, J., Rocken, M. 2000
  • WORD VOTER: A New Voter Design for Triple Modular Redundant Systems Mitra, S., McCluskey, E., J. 2000
  • Efficient Multiplexer Synthesis IEEE Design and Test of Computers Mitra, S., Avra, L., J., McCluskey, E., J. 2000; 17 (4): 90-97
  • Which concurrent error detection scheme to choose? International Test Conference Mitra, S., McCluskey, E. J. IEEE. 2000: 985–994
  • Dependable computing and online testing in adaptive and configurable systems IEEE DESIGN & TEST OF COMPUTERS Saxena, N. R., Fernandez-Gomez, S., Huang, W. J., Mitra, S., Yu, S. Y., McCluskey, E. J. 2000; 17 (1): 29-41
  • Microvascular smooth-muscle specific expression of alpha(2C)-adrenergic receptor: Role of oxidant stress and stress-activated protein kinase p38 in gene regulation Chotani, M. A., Mitra, S., Su, B. Y., Flavahan, S., Paris, H., Daunt, D. A., Handy, D. E., Flavahan, N. A. LIPPINCOTT WILLIAMS & WILKINS. 1999: 848–48
  • Silent alpha 2C-Adrenergic receptors enable cold-induced vasoconstriction in cutaneous arteries: A mechanism for Raynaud's phenomenon? Chotani, M. A., Flavahan, S., Mitra, S., Daunt, D. A., Flavahan, N. A. LIPPINCOTT WILLIAMS & WILKINS. 1999: 554–54
  • An output encoding problem and a solution technique IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS Mitra, S., Avra, L. J., McCluskey, E. J. 1999; 18 (6): 761-768
  • A Design Diversity Metric and Reliability Analysis For Redundant Systems Mitra, S., Saxena, N., R., McCluskey, E., J. 1999
  • Fault-Tolerance Projects at Stanford CRC Shirvani, P., Mitra et al., S. 1999
  • VLSI architecture of a cellular automata machine COMPUTERS & MATHEMATICS WITH APPLICATIONS Khan, A. R., Choudhury, P. P., Dihidar, K., Mitra, S., Sarkar, P. 1997; 33 (5): 79-94
  • An output encoding problem and a solution technique 1997 IEEE/ACM International Conference on Computer-Aided Design (ICCAD 97) Mitra, S., Avra, L. J., McCluskey, E. J. I E E E, COMPUTER SOC PRESS. 1997: 304–307
  • Scan synthesis for one-hot signals International Test Conference 1997 (ITC) Mitra, S., Avra, L. J., McCluskey, E. J. IEEE. 1997: 714–722