Bio


Christos Kozyrakis is a Professor of Electrical Engineering and Computer Science at Stanford University. His primary research areas are computer architecture and computer systems. His current work focuses on cloud computing, systems for machine learning, and machine learning for systems. Christos leads the MAST research group. He is also the faculty director of the Stanford Platform Lab.

Christos holds a BS degree from the University of Crete and a PhD degree from the University of California at Berkeley. He is a fellow of the ACM and the IEEE. He has received the ACM SIGARCH Maurice Wilkes Award, the ISCA Influential Paper Award, the NSF Career Award, the Okawa Foundation Research Grant, and faculty awards by IBM, Microsoft, and Google.

Academic Appointments


Honors & Awards


  • Maurice Wilkes Award, ACM SIGARCH (2015)
  • Fellow, ACM (2016)
  • Fellow, IEEE (2014)
  • Faculty Award, IBM (2006)
  • Career Award, National Science Foundation (2006)
  • Research Grant, Okawa Foundation (2005)

Boards, Advisory Committees, Professional Organizations


  • Willard R. and Inez Kerr Bell faculty scholar, Stanford University (2009 - 2011)

Professional Education


  • PhD, University of California at Berkeley, Computer Science (2002)

2023-24 Courses


Stanford Advisees


All Publications


  • R<SUP>3</SUP>: Record-Replay-Retroaction for Database-Backed Applications PROCEEDINGS OF THE VLDB ENDOWMENT Li, Q., Kraft, P., Cafarella, M., Demiralp, C., Graefe, G., Kozyrakis, C., Stonebraker, M., Suresh, L., Yu, X., Zaharia, M. 2023; 16 (11): 3085-3097
  • <i>Tectonic-Shift</i>: A Composite Storage Fabric for Large-Scale ML Training Zhao, M., Pan, S., Agarwal, N., Wen, Z., Xu, D., Natarajan, A., Kumar, P., Shiva, S. P., Tijoriwala, R., Asher, K., Wu, H., Basant, A., Ford, D., David, D., Yigitbasi, N., Singh, P., Wu, C., Kozyrakis, C., USENIX Association USENIX ASSOC. 2023: 433-449
  • Honeycomb: Secure and Efficient GPU Executions via Static Validation Mai, H., Zhao, J., Zheng, H., Zhao, Y., Liu, Z., Gao, M., Wang, C., Cui, H., Feng, X., Kozyrakis, C., USENIX Association USENIX ASSOC. 2023: 155-172
  • Optimizing Video Analytics with Declarative Model Relationships PROCEEDINGS OF THE VLDB ENDOWMENT Romero, F., Hauswald, J., Partap, A., Kang, D., Zaharia, M., Kozyrakis, C. 2022; 16 (3): 447-460
  • RAIL: Predictable, Low Tail Latency for NVMe Flash ACM TRANSACTIONS ON STORAGE Litz, H., Gonzalez, J., Klimovic, A., Kozyrakis, C. 2022; 18 (1)

    View details for DOI 10.1145/3465406

    View details for Web of Science ID 000765084700002

  • Towards mu s Tail Latency and Terabit Ethernet: Disaggregating the Host Network Stack Cai, Q., Vuppalapati, M., Hwang, J., Kozyrakis, C., Agarwal, R., Assoc Comp Machinery ASSOC COMPUTING MACHINERY. 2022: 767-779
  • Understanding Data Storage and Ingestion for Large-Scale Deep Recommendation Model Training Zhao, M., Agarwal, N., Basant, A., Gedik, B., Pan, S., Ozdal, M., Komuravelli, R., Pan, J., Bao, T., Lu, H., Narayanan, S., Langman, J., Wilfong, K., Rastogi, H., Wu, C., Kozyrakis, C., Pol, P., ACM ASSOC COMPUTING MACHINERY. 2022: 1042-1057
  • SOL: Safe On-Node Learning in Cloud Platforms Wang, Y., Crankshaw, D., Yadwadkar, N. J., Berger, D., Kozyrakis, C., Bianchini, R., Falsafi, B., Ferdman, M., Lu, S., Weinisch, T. ASSOC COMPUTING MACHINERY. 2022: 622-634
  • ShEF: Shielded Enclaves for Cloud FPGAs Zhao, M., Gao, M., Kozyrakis, C., Falsafi, B., Ferdman, M., Lu, S., Weinisch, T. ASSOC COMPUTING MACHINERY. 2022: 1070-1085
  • RecShard: Statistical Feature-Based Memory Optimization for Industry-Scale Neural Recommendation Sethi, G., Acun, B., Agarwal, N., Kozyrakis, C., Trippel, C., Wu, C., Falsafi, B., Ferdman, M., Lu, S., Weinisch, T. ASSOC COMPUTING MACHINERY. 2022: 344-358
  • DBOS: A DBMS-oriented Operating System Skiadopoulos, A., Li, Q., Kraft, P., Kaffes, K., Hong, D., Mathew, S., Bestor, D., Cafarella, M., Gadepally, V., Graefe, G., Kepner, J., Kozyrakis, C., Kraska, T., Stonebraker, M., Suresh, L., Zaharia, M. ASSOC COMPUTING MACHINERY. 2021: 21-30
  • RAMBO: Resource Allocation for Microservices Using Bayesian Optimization IEEE COMPUTER ARCHITECTURE LETTERS Li, Q., Li, B., Mercati, P., Illikkal, R., Tai, C., Kishinevsky, M., Kozyrakis, C. 2021; 20 (1): 46–49
  • INFaaS: Automated Model-less Inference Serving Romero, F., Li, Q., Yadwadkar, N. J., Kozyrakis, C., USENIX ASSOC USENIX ASSOC. 2021: 397-411
  • LLAMA: A Heterogeneous & Serverless Framework for Auto-Tuning Video Analytics Pipelines Romero, F., Zhao, M., Yadwadkar, N. J., Kozyrakis, C., ACM ASSOC COMPUTING MACHINERY. 2021: 1-17
  • Faa$T: A Transparent Auto-Scaling Cache for Serverless Applications Romero, F., Chaudhry, G., Goiri, I., Gopa, P., Batum, P., Yadwadkar, N. J., Fonseca, R., Kozyrakis, C., Bianchini, R., ACM ASSOC COMPUTING MACHINERY. 2021: 122-137
  • SmartHarvest: Harvesting Idle CPUs Safely and Efficiently in the Cloud Wang, Y., Arya, K., Kogias, M., Vanga, M., Bhandari, A., Yadwadkar, N. J., Sen, S., Elnikety, S., Kozyrakis, C., Bianchini, R., ACM ASSOC COMPUTING MACHINERY. 2021: 1-16
  • AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers IEEE MICRO Nagendra, N., Ayers, G., August, D. I., Cho, H., Kanev, S., Kozyrakis, C., Krishnamurthy, T., Litz, H., Moseley, T., Ranganathan, P. 2020; 40 (3): 56–63
  • The Hot Chips Renaissance IEEE MICRO Kozyrakis, C., Bratt, I. 2020; 40 (2): 6–7
  • Leveraging application classes to save power in highly-utilized data centers SoCC '20: ACM Symposium on Cloud Computing Kaffes, K., Sbirlea, D., Lin, Y., Lo, D., Kozyrakis, C. 2020

    View details for DOI 10.1145/3419111.3421274

  • RackSched: A Microsecond-Scale Scheduler for Rack-Scale Computers Zhu, H., Kaffes, K., Chen, Z., Liu, Z., Kozyrakis, C., Stoica, I., Jin, X., USENIX Assoc USENIX ASSOC. 2020: 1225-1240
  • Classifying Memory Access Patterns for Prefetching Ayers, G., Litz, H., Kozyrakis, C., Ranganathan, P., ACM ASSOC COMPUTING MACHINERY. 2020: 513–26
  • Interstellar: Using Halide's Scheduling Language to Analyze DNN Accelerators Yang, X., Gao, M., Liu, Q., Setter, J., Pu, J., Nayak, A., Bell, S., Cao, K., Ha, H., Raina, P., Kozyrakis, C., Horowitz, M., ACM ASSOC COMPUTING MACHINERY. 2020: 369–83
  • Mind the Gap: A Case for Informed Request Scheduling at the NIC Humphries, J., Kaffes, K., Mazieres, D., Kozyrakis, C., ACM ASSOC COMPUTING MACHINERY. 2019: 60–68
  • AsmDB: Understanding and Mitigating Front-End Stalls in Warehouse-Scale Computers Ayers, G., Nagendra, N., August, D., Cho, H., Kanev, S., Kozyrakis, C., Krishnamurthy, T., Litz, H., Moseley, T., Ranganathan, P., ACM ASSOC COMPUTING MACHINERY. 2019: 462–73
  • From Laptop to Lambda: Outsourcing Everyday Jobs to Thousands of Transient Functional Containers Fouladi, S., Romero, F., Iter, D., Li, Q., Chatterjee, S., Kozyrakis, C., Zaharia, M., Winstein, K., USENIX Assoc USENIX ASSOC. 2019: 475–88
  • TANGRAM: Optimized Coarse-Grained Dataflow for Scalable NN Accelerators ASPLOS '19: Proceedings of the Twenty-Fourth International Conference on Architectural Support for Programming Languages and Operating Systems Gao, M., Yang, X., Pu, J., Horowitz, M., Kozyrakis, C. 2019: 807–20

    View details for DOI 10.1145/3297858.3304014

  • Centralized Core-granular Scheduling for Serverless Functions SoCC '19: ACM Symposium on Cloud Computing Kaffes, K., Yadwadkar, N. J., Kozyrakis, C. 2019

    View details for DOI 10.1145/3357223.3362709

  • Shinjuku: Preemptive Scheduling for mu second-scale Tail Latency Kaffes, K., Chong, T., Humphries, J., Belay, A., Mazieres, D., Kozyrakis, C., USENIX Assoc USENIX ASSOC. 2019: 345–59
  • A Case for Managed and Model-less Inference Serving Yadwadkar, N. J., Romero, F., Li, Q., Kozyrakis, C., ACM ASSOC COMPUTING MACHINERY. 2019: 184–91
  • QuMan: Profile-based Improvement of Cluster Utilization ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION Sfakianakis, Y., Kozanitis, C., Kozyrakis, C., Bilas, A. 2018; 15 (3)

    View details for DOI 10.1145/3210560

    View details for Web of Science ID 000450962200001

  • Amdahl's Law for Tail Latency COMMUNICATIONS OF THE ACM Delimitrou, C., Kozyrakis, C. 2018; 61 (8): 65–72

    View details for DOI 10.1145/3232559

    View details for Web of Science ID 000439630200019

  • Uncovering the Security Implications of Cloud Multi-Tenancy with Bolt IEEE MICRO Delimitrou, C., Kozyrakis, C. 2018; 38 (3): 86–97
  • Plasticine: A Reconfigurable Accelerator for Parallel Patterns IEEE MICRO Prabhakar, R., Zhang, Y., Koeplinger, D., Feldman, M., Zhao, T., Hadjis, S., Pedram, A., Kozyrakis, C., Olukotun, K. 2018; 38 (3): 20–31
  • GraphP: Reducing Communication for PIM-based Graph Processing with Efficient Data Partition Zhang, M., Zhuo, Y., Wang, C., Gao, M., Wu, Y., Chen, K., Kozyrakis, C., Qian, X., IEEE IEEE. 2018: 544–57
  • Making Pull-Based Graph Processing Performant Grossman, S., Litz, H., Kozyrakis, C. ASSOC COMPUTING MACHINERY. 2018: 246–60
  • Memory Hierarchy for Web Search Ayers, G., Ahn, J., Kozyrakis, C., Ranganathan, P., IEEE IEEE. 2018: 643–56
  • The IX Operating System: Combining Low Latency, High Throughput and Efficiency in a Protected Dataplane (vol 34, pg 11, 2017) ACM TRANSACTIONS ON COMPUTER SYSTEMS Belay, A., Prekas, G., Primorac, M., Klimovic, A., Grossman, S., Kozyrakis, C., Bugnion, E. 2017; 35 (3)

    View details for DOI 10.1145/3154292

    View details for Web of Science ID 000419303500004

  • TETRIS: Scalable and Efficient Neural Network Acceleration with 3D Memory ACM SIGPLAN NOTICES Gao, M., Pu, J., Yang, X., Horowitz, M., Kozyrakis, C. 2017; 52 (4): 751-764
  • Bolt: I Know What You Did Last Summer... In the Cloud ACM SIGPLAN NOTICES Delimitrou, C., Kozyrakis, C. 2017; 52 (4): 599-613
  • ReFlex: Remote Flash approximate to Local Flash ACM SIGPLAN NOTICES Klimovic, A., Litz, H., Kozyrakis, C. 2017; 52 (4): 345-359
  • The IX Operating System: Combining Low Latency, High Throughput, and Efficiency in a Protected Dataplane ACM TRANSACTIONS ON COMPUTER SYSTEMS Belay, A., Prekas, G., Primorac, M., Klimovic, A., Grossman, S., Kozyrakis, C., Bugnion, E. 2017; 34 (4)

    View details for DOI 10.1145/2997641

    View details for Web of Science ID 000393569100002

  • Persona: A High-Performance Bioinformatics Framework Byma, S., Whitlock, S., Flueratoru, L., Tseng, E., Kozyrakis, C., Bugnion, E., Larus, J., USENIX Assoc USENIX ASSOC. 2017: 153–65
  • Special Session Paper 3D Nanosystems Enable Embedded Abundant-Data Computing Hwang, W., Aly, M., Malviya, Y. H., Gao, M., Wu, T. F., Kozyrakis, C., Wong, H., Mitra, S., IEEE IEEE. 2017
  • Security Implications of Data Mining in Cloud Scheduling IEEE COMPUTER ARCHITECTURE LETTERS Delimitrou, C., Kozyrakis, C. 2016; 15 (2): 109-112
  • Improving Resource Efficiency at Scale with Heracles ACM TRANSACTIONS ON COMPUTER SYSTEMS Lo, D., Cheng, L., Govindaraju, R., Ranganathan, P., Kozyrakis, C. 2016; 34 (2)

    View details for DOI 10.1145/2882783

    View details for Web of Science ID 000375568100003

  • Generating Configurable Hardware from Parallel Patterns ACM SIGPLAN NOTICES Prabhakar, R., Koeplinger, D., Brown, K. J., Lee, H., De Sa, C., Kozyrakis, C., Olukotun, K. 2016; 51 (4): 651-665
  • HCloud: Resource-Efficient Provisioning in Shared Cloud Systems ACM SIGPLAN NOTICES Delimitrou, C., Kozyrakis, C. 2016; 51 (4): 473-488
  • Energy-Efficient Abundant-Data Computing: The N3XT 1,000x COMPUTER Aly, M. M., Gao, M., Hills, G., Lee, C., Pitner, G., Shulaker, M. M., Wu, T. F., Asheghi, M., Bokor, J., Franchetti, F., Goodson, K. E., Kozyrakis, C., Markov, I., Olukotun, K., Pileggi, L., Pop, E., Rabaey, J., Re, C., Wong, H. P., Mitra, S. 2015; 48 (12): 24-33
  • Convolution Engine: Balancing Efficiency and Flexibility in Specialized Computing COMMUNICATIONS OF THE ACM Qadeer, W., Hameed, R., Shacham, O., Venkatesan, P., Kozyrakis, C., Horowitz, M. 2015; 58 (4): 85-93

    View details for DOI 10.1145/2735841

    View details for Web of Science ID 000351734500024

  • QUALITY-OF-SERVICE-AWARE SCHEDULING IN HETEROGENEOUS DATACENTERS WITH PARAGON IEEE MICRO Delimitrou, C., Kozyrakis, C. 2014; 34 (3): 17-30
  • Quasar: Resource-Efficient and QoS-Aware Cluster Management ACM SIGPLAN NOTICES Delimitrou, C., Kozyrakis, C. 2014; 49 (4): 127-143
  • Dynamic Management of TurboMode in Modern Multi-core Chips Patterson, D., Kozyrakis, C. 2014
  • Quasar: Resource-Efficient and QoS-Aware Cluster Management Delimitrou, C., Kozyrakis, C. 2014
  • QoS-Aware Scheduling in Heterogeneous Datacenters with Paragon ACM TRANSACTIONS ON COMPUTER SYSTEMS Delimitrou, C., Kozyrakis, C. 2013; 31 (4)

    View details for DOI 10.1145/2556583

    View details for Web of Science ID 000329130800004

  • Measuring and analyzing the energy use of enterprise computing systems SUSTAINABLE COMPUTING-INFORMATICS & SYSTEMS Kazandjieva, M., Heller, B., Gnawali, O., Levis, P., Kozyrakis, C. 2013; 3 (3): 218-229
  • Paragon: QoS-Aware Scheduling for Heterogeneous Datacenters ACM SIGPLAN NOTICES Delimitrou, C., Kozyrakis, C. 2013; 48 (4): 77-88
  • Guest Editors' Introduction SELECTED RESEARCH FROM HOT CHIPS 24 IEEE MICRO Kozyrakis, C., Zahir, R. 2013; 33 (2): 6-7
  • The Netflix Challenge: Datacenter Edition IEEE COMPUTER ARCHITECTURE LETTERS Delimitrou, C., Kozyrakis, C. 2013; 12 (1): 29-32
  • iBench: Quantifying Interference for Datacenter Applications IEEE International Symposium on Workload Characterization (IISWC) Delimitrou, C., Kozyrakis, C. IEEE. 2013: 23–33
  • ZSim: Fast and Accurate Microarchitectural Simulation of Thousand-Core Systems Sanchez, D., Kozyrakis, C. 2013
  • iBench: Quantifying Interference for Datacenter Workloads Delimitrou, C., Kozyrakis, C. 2013
  • Convolution Engine: Balancing Efficiency and Flexibility in Specialized Computing Qadeer, W., Hameed, R., Shacham, O., Venkatesan, P., Kozyrakis, C., Horowitz, M. 2013
  • Measuring and analyzing the energy use of enterprise computing systems Sustainable Computing: Informatics and Systems Kazandjieva, M., Heller, B., Gnawali, O., Levis, P., Kozyrakis, C. 2013
  • Resource Efficienct Computing for Warehouse-scale Datacenters Kozyrakis, C. 2013
  • QoS-Aware Admission Control in Heterogeneous Datacenters Delimitrou, C., Bambos, N., Kozyrakis, C. 2013
  • Enhanced Concurrency Control with Transactional NACKs Baek, W., Yoo, R., Kozyrakis, C. 2013
  • Locality-Aware Task Management for Unstructured Parallelism: A Quantitative Limit Study Yoo, R., Hughes, C., Kim, C., Chen, Y., Kozyrakis, C. 2013
  • QoS-Aware Scheduling in Heterogeneous Datacenters with Paragon ACM Transactions on Computer Systems (TOCS) Delimitrou, C., Kozyrakis, C. 2013; 31 (4)
  • Decoupling Datacenter Storage Studies from Access to Large-Scale Applications IEEE COMPUTER ARCHITECTURE LETTERS Delimitrou, C., Sankar, S., Vaid, K., Kozyrakis, C. 2012; 11 (2): 53-56
  • SCALABLE AND EFFICIENT FINE-GRAINED CACHE PARTITIONING WITH VANTAGE IEEE MICRO Sanchez, D., Kozyrakis, C. 2012; 32 (3): 26-37
  • Hardware Acceleration of Transactional Memory on Commodity Systems ACM SIGPLAN NOTICES Casper, J., Oguntebi, T., Hong, S., Bronson, N. G., Kozyrakis, C., Olukotun, K. 2012; 47 (4): 27-38
  • Improving System Energy Efficiency with Memory Rank Subsetting ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION Ahn, J. H., Jouppi, N. P., Kozyrakis, C., Leverich, J., Schreiber, R. S. 2012; 9 (1)
  • Dune: Safe User-level Access to Privileged CPU Features Belay, A., Bittau, A., Mashtizadeh, A., Terei, D., Mazie`res, D., Kozyrakis, C. 2012
  • ECHO: Recreating Network Traffic Maps for Datacenters of Tens of Thousands of Servers Delimitrou, C., Sanka, S., Kansal, A., Kozyrakis, C. 2012
  • A Case of System-level Hardware/Software Co-design and Co-verification of a Commodity Multi-Processor System with Custom Hardware 10th ACM International Conference on Hardware/Software-Codesign and System Synthesis Hong, S., Oguntebi, T., Casper, J., Bronson, N., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2012: 513–519
  • SCD: A Scalable Coherence Directory with Flexible Sharer Set Encoding 18th IEEE International Symposium on High-Performance Computer Architecture (HPCA) Sanchez, D., Kozyrakis, C. IEEE. 2012: 129–140
  • Understanding Sources of Inefficiency in General-Purpose Chips COMMUNICATIONS OF THE ACM Hameed, R., Qadeer, W., Wachs, M., Azizi, O., Solomatnikov, A., Lee, B. C., Richardson, S., Kozyrakis, C., Horowitz, M. 2011; 54 (10): 85-93
  • The Case for RAMCloud COMMUNICATIONS OF THE ACM Ousterhout, J., Agrawal, P., Erickson, D., Kozyrakis, C., Leverich, J., Mazieres, D., Mitra, S., Narayanan, A., Ongaro, D., Parulkar, G., Rosenblum, M., Rumble, S. M., Stratmann, E., Stutsman, R. 2011; 54 (7): 121-130
  • Hardware Acceleration of Transactional Memory on Commodity Systems ACM SIGPLAN NOTICES Casper, J., Oguntebi, T., Hong, S., Bronson, N. G., Kozyrakis, C., Olukotun, K. 2011; 46 (3): 27-38
  • Decoupling Datacenter Studies from Access to Large-Scale Applications: A Modeling Approach for Storage Workloads IEEE International Symposium on Workload Characterization (IISWC) Delimitrou, C., Sankar, S., Vaid, K., Kozyrakis, C. IEEE. 2011: 51–60
  • Storage I/O Generation and Replay for Datacenter Applications Delimitrou, C., Sankar, S., Vaid, K., Kozyrakis, C. 2011
  • Time and Cost-Efficient Modeling and Generation of Large-Scale TPCC/TPCE/TPCH Delimitrou, C., Sankar, S., Khessib, B., Vaid, K., Kozyrakis, C. 2011
  • Phoenix++: Modular MapReduce for Shared-Memory Systems Talbot, J., Yoo, R., Kozyrakis, C. 2011
  • Dynamic Fine-Grain Scheduling of Pipeline Parallelism Sanchez, D., Lo, D., Yoo, R., Sugerman, J., Kozyrakis, C. 2011
  • Accurate Modeling and Generation of Storage I/O for Datacenter Workloads Delimitrou, C., Sankar, S., Vaid, K., Kozyrakis, C. 2011
  • Understanding Sources of Inefficiency in General-Purpose Chips Communications of the ACM (CACM) Hameed, R., Qadeer, W., Wachs, M., Azizi, O., Solomatnikov, A., Lee, Benjamin, C., Kozyrakis, C. 2011; 54 (10)
  • Vantage: Scalable and Efficient Fine-Grain Cache Partitioning 38th Annual International Symposium on Computer Architecture Sanchez, D., Kozyrakis, C. ASSOC COMPUTING MACHINERY. 2011: 57–68
  • SERVER ENGINEERING INSIGHTS FOR LARGE-SCALE ONLINE SERVICES IEEE MICRO Kozyrakis, C., Kansal, A., Sankar, S., Vaid, K. 2010; 30 (4): 8-19
  • An Analysis of On-Chip Interconnection Networks for Large-Scale Chip Multiprocessors ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION Sanchez, D., Michelogiannakis, G., Kozyrakis, C. 2010; 7 (1)
  • Flexible Architectural Support for Fine-Grain Scheduling ACM SIGPLAN NOTICES Sanchez, D., Yoo, R. M., Kozyrakis, C. 2010; 45 (3): 311-322
  • FARM: A Prototyping Environment for Tightly-Coupled, Heterogeneous Architectures Oguntebi, T., Hong, S., Casper, J., Bronson, N., Kozyrakis, C., Olukotun, K. 2010
  • Tainting is Not Pointless ACM SIGOPS Operating Systems Review Dalton, M., Kannan, H., Kozyrakis, C. 2010; 44 (2)
  • Making Nested Parallel Transactions Practical using Lightweight Hardware Support Baek, W., Bronson, N., Kozyrakis, C., Olukotun, K. 2010
  • EigenBench: A Simple Exploration Tool for Orthogonal TM Characteristics Hong, S., Oguntebi, T., Casper, J., Bronson, N., Kozyrakis, C., Olukotun, K. 2010
  • The ZCache: Decoupling Ways and Associativity Sanchez, D., Kozyrakis, C. 2010
  • Implementing and Evaluating a Model Checker for Transactional Memory Systems Baek, W., Bronson, N., Kozyrakis, C., Olukotun, K. 2010
  • Evaluating Bufferless Flow Control for On-Chip Networks Michelogiannakis, G., Sanchez, D., Dally, William, J., Kozyrakis, C. 2010
  • Implementing and Evaluating Nested Parallel Transactions in Software Transactional Memory 22nd ACM Symposium on Parallelism in Algorithms and Architectures Baek, W., Bronson, N., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2010: 253–262
  • Power Management of Datacenter Workloads Using Per-Core Power Gating IEEE COMPUTER ARCHITECTURE LETTERS Leverich, J., Monchiero, M., Talwar, V., Ranganathan, P., Kozyrakis, C. 2009; 8 (2): 48-51
  • HOT CHIPS TURNS 20 IEEE MICRO Kozyrakis, C., van de Waerdt, J. 2009; 29 (2): 4-5
  • Phoenix Rebirth: Scalable MapReduce on a Large-Scale Shared-Memory System IEEE International Symposium on Workload Characterization Yoo, R. M., Romano, A., Kozyrakis, C. IEEE COMPUTER SOC. 2009: 198–207
  • On the Energy (In)Efficiency of Hadoop Clusters Leverich, J., Kozyrakis, C. 2009
  • On the Energy (In)Efficiency of Hadoop Clusters Leverich, J., Kozyrakis, C. 2009
  • The Stanford Pervasive Parallelism Lab Kozyrakis, C., Olukotun, K. 2009
  • Energy Dumpster Diving Kazandjieva, M., Heller, B., Levis, P., Kozyrakis, C. 2009
  • Energy Dumpster Diving Kazandjieva, M., Heller, B., Levis, P., Kozyrakis, C. 2009
  • Nemesis: Preventing Authentication & Access Control Vulnerabilities in Web Applications Dalton, M., Kozyrakis, C., Zeldovich, N. 2009
  • The case for RAMClouds: scalable high-performance storage entirely in DRAM ACM SIGOPS Operating Systems Review Ousterhout, J., Agrawal, P., Erickson, D., Kozyrakis, C., Leverich, J., Mazières, D. 2009; 43 (4)
  • Future Scaling of Processor-Memory Interfaces Conference on High Performance Computing Networking, Storage and Analysis Ahn, J. H., Jouppi, N. P., Kozyrakis, C., Leverich, J., Schreiber, R. S. IEEE. 2009
  • Fast Memory Snapshot for Concurrent Programming without Synchronization ACM SIGARCH International Conference on Supercomputing Chung, J., Baek, W., Kozyrakis, C. ASSOC COMPUTING MACHINERY. 2009: 117–125
  • A Memory System Design Framework: Creating Smart Memories 36th Annual International Symposium on Computer Architecture Firoozshahian, A., Solomatnikov, A., Shacham, O., Asgar, Z., Richardson, S., Kozyrakis, C., Horowitz, M. ASSOC COMPUTING MACHINERY. 2009: 406–417
  • Decoupling Dynamic Information Flow Tracking with a Dedicated Coprocessor 39th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Kannan, H., Dalton, M., Kozyrakis, C. IEEE. 2009: 105–114
  • Feedback-Directed Barrier Optimization in a Strongly Isolated STM ACM SIGPLAN NOTICES Bronson, N. G., Kozyrakis, C., Olukotun, K. 2009; 44 (1): 213-225
  • Comparative Evaluation of Memory Models for Chip Multiprocessors ACM TRANSACTIONS ON ARCHITECTURE AND CODE OPTIMIZATION Leverich, J., Arakida, H., Solomatnikov, A., Firoozshahian, A., Horowitz, M., Kozyrakis, C. 2008; 5 (3)
  • Transactional memory COMMUNICATIONS OF THE ACM Larus, J., Kozyrakis, C. 2008; 51 (7): 80-88
  • Improving Software Concurrency with Hardware-assisted Memory Snapshot 20th ACM Symposium on Parallelism in Algorithms and Architectures Chung, J., Seo, J., Baek, W., Minh, C. C., McDonald, A., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2008: 363–363
  • Hardware Enforcement of Application Security Policies Zeldovich, N., Kannan, H., Dalton, M., Kozyrakis, C. 2008
  • A Comparison of High-Level Full-System Power Models Rivoire, S., Ranganathan, P., Kozyrakis, C. 2008
  • Real-World Buffer Overflow Protection for Userspace and Kernelspace Dalton, M., Kannan, H., Kozyrakis, C. 2008
  • ASeD: Availability, Security, and Debugging Support using Transactional Memory 20th ACM Symposium on Parallelism in Algorithms and Architectures Chung, J., Baek, W., Bronson, N. G., Seo, J., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2008: 366–366
  • STAMP: Stanford Transactional Applications for Multi-Processing IEEE International Symposium on Workload Characterization Minh, C. C., Chung, J., Kozyrakis, C., Olukotun, K. IEEE. 2008: 31–42
  • Thread-Safe Dynamic Binary Translation using Transactional Memory 14th International Symposium on High-Performance Computer Architecture Chung, J., Dalton, M., Kannan, H., Kozyrakis, C. IEEE. 2008: 256–266
  • Models and metrics to enable energy-efficiency optimizations COMPUTER Rivoire, S., Shah, M. A., Ranganathan, P., Kozyrakis, C., Meza, J. 2007; 40 (12): 39-?
  • RAMP: Research accelerator for multiple processors Hot Chips 18 Conference Wawrzynek, J., Patterson, D., Oskin, M., Lu, S., Kozyrakis, C., Hoe, J. C., Chiou, D., Asanovic, K. IEEE COMPUTER SOC. 2007: 46–57
  • Towards Soft Optimization Techniques for Parallel Cognitive Applications 19th Annual Symposium on Parallelism in Algorithms and Architectures Baek, W., Chung, J., Minh, C. C., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2007: 59–60
  • The OpenTM Transactional Application Programming Interface Baek, W., Minh, C. C., Trautmann, M., Kozyrakis, C., Olukotun, K. 2007
  • RAMP: Research Accelerator for Multiple Processors IEEE Micro Wawrzynek, J., Patterson, D., Oskin, M., Lu, S., Kozyrakis, C., Hoe, J. 2007; 27 (2)
  • A Low Power Front-end for Embedded Processors using a Block-aware Instruction Set Zmily, A., Kozyrakis, C. 2007
  • JouleSort: A Balanced Energy-Efficiency Benchmark Rivoire, S., Shah, Mehul, A., Ranganathan, P., Kozyrakis, C. 2007
  • Raksha: A Flexible Architecture for Software Security Kannan, H., Dalton, M., Kozyrakis, C. 2007
  • A Practical FPGA-based Framework for Novel CMP Research 15th ACM/SIGDA International Symposium on Field-Programmable Gate Arrays Wee, S., Casper, J., Njoroge, N., Tesylar, Y., Ge, D., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2007: 116–125
  • Transactional Collection Classes ACM SIGPLAN Symposium on Principles and Practice of Parallel Programming Carlstrom, B. D., McDonald, A., Carbin, M., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2007: 56–67
  • ATLAS: A chip-multiprocessor with Transactional Memory support Design, Automation and Test in Europe Conference and Exhibition (DATE 07) Njoroge, N., Casper, J., Wee, S., Teslyar, Y., Ge, D., Kozyrakis, C., Olukotun, K. IEEE. 2007: 3–8
  • Transactional memory: The hardware-software interface IEEE MICRO McDonald, A., Carlstrom, B. D., Chung, J., Minh, C. C., Chafi, H., Kozyrakis, C., Olukotun, K. 2007; 27 (1): 67-76
  • Comparing Memory Systems for Chip Multiprocessors 34th Annual International Symposium on Computer Architecture Leverich, J., Arakida, H., Solomatnikov, A., Firoozshahian, A., Horowitz, M., Kozyrakis, C. ASSOC COMPUTING MACHINERY. 2007: 358–368
  • An Effective Hybrid Transactional Memory System with Strong Isolation Guarantees 34th Annual International Symposium on Computer Architecture Minh, C. C., Trautmann, M., Chung, J., McDonald, A., Bronson, N., Casper, J., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2007: 69–80
  • A scalable, non-blocking approach to transactional memory 13th International Symposium on High-Performance Computer Architecture Chafi, H., Casper, J., Carlstrom, B. D., McDonald, A., Minh, C. C., Baek, W., Kozyrakis, C., Olukotun, K. IEEE COMPUTER SOC. 2007: 97–108
  • Register pointer architecture for efficient embedded processors Design, Automation and Test in Europe Conference and Exhibition (DATE 07) Park, J., Park, S., Balfour, J. D., Black-Schaffer, D., Kozyrakis, C., Dally, W. J. IEEE. 2007: 600–605
  • Evaluating MapReduce for multi-core and multiprocessor systems 13th International Symposium on High-Performance Computer Architecture Ranger, C., Raghuraman, R., Penmetsa, A., Bradski, G., Kozyrakis, C. IEEE COMPUTER SOC. 2007: 13–24
  • Executing Java programs with transactional memory OOPSLA Workshop on Synchronization and Concurrent in Object-Oriented Languages Carlstrom, B. D., Chung, J., Chafi, H., McDonald, A., Minh, C. C., Hammond, L., Kozyrakis, C., Olukotun, K. ELSEVIER SCIENCE BV. 2006: 111–29
  • Tradeoffs in transactional memory virtualization ACM SIGPLAN NOTICES Chung, J., Minh, C. C., McDonald, A., Skare, T., Chafi, H., Carlstrom, B. D., Kozyrakis, C., Olukotun, K. 2006; 41 (11): 371-381
  • The ATOMO Sigma transactional programming language ACM SIGPLAN NOTICES Carlstrom, B. D., McDonald, A., Chafi, H., Chung, J., Minh, C. C., Kozyrakis, C., Olukotun, K. 2006; 41 (6): 1-13
  • Vector lane threading 35th International Conference on Parallel Processing Rivoire, S., Schultz, R., Okuda, T., Kozyrakis, C. IEEE COMPUTER SOC. 2006: 55–62
  • Parallelizing SPECjbb2000 with Transactional Memory Chung, J., Minh, C. C., Carlstrom, Brian, D., Kozyrakis, C. 2006
  • Early Release: Friend or Foe Skare, T., Kozyrakis, C. 2006
  • Deconstructing Hardware Architectures for Security Dalton, M., Kannan, H., Kozyrakis, C. 2006
  • Unlocking Concurrency: Multicore Programming with Transactional Memor ACM Queue Adl-Tabatabai, A., Kozyrakis, C., Saha, B. 2006; 4 (10)
  • Library-based Prefetching for Pointer Intensive Applications Online Technical Manuscript Malhotra, V., Kozyrakis, C. 2006
  • Building and Using the ATLAS Transactional Memory System Njoroge, N., Wee, S., Casper, J., Burdick, J., Teslyar, Y., Kozyrakis, C. 2006
  • Tutorial: Transactional Programming In A Multi-core Environment Adl-Tabatabai, A., Kozyrakis, C., Saha, B. 2006
  • CEARCH: Cognition Enabled Architecture Crago, S., McMahon, et al., J. 2006
  • The Software Stack for Transactional Memory: Challenges and Opportunities Calrstrom, Brian, D., Chung, J., Kozyrakis, C., Olukotun, K. 2006
  • Full-system Power Analysis and Modeling for Server Environments Economou, D., Rivoire, S., Kozyrakis, C., Ranganathan, P. 2006
  • Testing Implementations of Transactional Memory Manovit, C., Hangal, S., McDonald, A., Chafi, H., Kozyrakis, C., Olukotun, K. 2006
  • RAMP: Research Accelerator for Multiple Processors Arvind, D., Patterson, Asanovic, K., Chiou, D., Hoe, J., Kozyrakis, C., Lu, S. 2006
  • From Chaos to QoS: Case Studies in CMP Resource Management Kannan, H., Guo, F., Zhao, L., Illikkal, R., Iyer, R., Newell, D., Kozyrakis, C. 2006
  • Block Aware Instruction Set Architecture ACM Transactions on Architecture and Code Optimization Zmily, A., Kozyrakis, C. 2006; 3 (3): 327-357
  • The common case transactional behavior of multithreaded programs 12th International Symposium on High-Performance Computer Architecture Chung, J., Chafi, H., Minh, C. C., McDonald, A., Carlstrom, B., Kozyrakis, C., Olukotun, K. IEEE COMPUTER SOC. 2006: 271–282
  • Simultaneously improving code size, performance, and energy in embedded processors Design, Automation and Test in Europe Conference and Exhibition (DATE 06) Zmily, A., Kozyrakis, C. IEEE. 2006: 222–227
  • Architectural semantics for practical Transactional Memory 33rd International Symposium on Computer Architecture McDonald, A., Chung, J., Carlstrom, B. D., Minh, C. C., Chafi, H., Kozyrakis, C., Olukotun, K. IEEE COMPUTER SOC. 2006: 53–64
  • Heuristics for profile-driven method-level speculative parallelization 34th International Conference on Parallel Processing (ICPP) Whaley, J., Kozyrakis, C. IEEE COMPUTER SOC. 2005: 147–156
  • Transactional Execution of Java Programs Calrstrom, Brian, D., Chung, J., Chafi, H., McDonald, A., Hammond, C. M., Kozyrakis, C. 2005
  • TAPE: a Transactional Application Profiling Environment Chafi, H., McDonald, A., Minh, C. C., Chung, J., Carlstrom, B., Hammond, L., Kozyrakis, C. 2005
  • RAMP: Research Accelerator for Multiple Processors - A Community Vision for a Shared Experimental Parallel HW/SW Platform UC Berkeley Technical Report UCB/CSD-05-1412 Asanović, K. A., Chiou, D., Hoe, James, C., Kozyrakis, C., Lu, S., Oskin, M. 2005
  • Autonomic power management schemes for Internet servers and data centers IEEE Global Telecommunications Conference (GLOBECOM 05) Mastroleon, L., Bambos, N., Kozyrakis, C., Economou, D. IEEE. 2005: 943–947
  • Improving instruction delivery with a block-aware ISA 11th International Euro-Par Conference Zmily, A., Killian, E., Kozyrakis, C. SPRINGER-VERLAG BERLIN. 2005: 530–539
  • Characterization of TCC on chip-multiprocessors 14th International Conference on Parallel Architectures and Compilation Techniques McDonald, A., Chung, J. W., Chafi, H., Minh, C. C., Carlstrom, B. D., Hammond, L., Kozyrakis, C., Olukotun, K. IEEE COMPUTER SOC. 2005: 63–74
  • Energy-efficient and high-performance instruction fetch using a block-aware ISA International Symposium on Low Power Electronics and Design Zmily, A., Kozyrakis, C. ASSOC COMPUTING MACHINERY. 2005: 36–41
  • Transactional coherence and consistency: Simplifying parallel hardware and software IEEE MICRO Hammond, L., Carlstrom, B. D., Wong, V., Chen, M., Kozyrakis, C., Olukotun, K. 2004; 24 (6): 92-103
  • Programming with transactional coherence and consistency (TCC) 11th International Conference on Architectural Support for Programming Languages and Operating Systems Hammond, L., Carlstrom, B. D., Wong, V., Hertzberg, B., Chen, M., Kozyrakis, C., Olukotun, K. ASSOC COMPUTING MACHINERY. 2004: 1–13
  • Transactional memory coherence and consistency 31st Annual International Symposium on Computer Architecture Hammond, L., Wong, V., Chen, M., Carlstrom, B. D., Davis, J. D., Hertzberg, B., Prabhu, M. K., Wijaya, H., Kozyrakis, C., Olukotun, K. IEEE COMPUTER SOC. 2004: 102–113
  • VIRAM-1: A Media-Oriented Vector Processor with Embedded DRAM Gebis, J., William, S., Kozyrakis, C., Patterson, D. 2004
  • Transactional Memory Coherence and Consistency (TCC) Hammond, L., Wong, V., Chen, M., Hertzberg, B., Carlstrom, B., Prabhu, M., Kozyrakis, C. 2004
  • Stream Virtual Machine and Two-Level Compilation Model for Streaming Architectures and Languages Mattson, P., Lethin, R., Litvinov, V., Labonte, F., Buck, I., Kozyrakis, C. 2004
  • The stream virtual machine 13th International Conference on Parallel Architecture and Compilation Techniques Labonte, F., Mattson, P., Thies, W., Buck, I., Kozyrakis, C., Horowitz, M. IEEE COMPUTER SOC. 2004: 267–277
  • Scalable vector processors for embedded systems IEEE MICRO Kozyrakis, C. E., Patterson, D. A. 2003; 23 (6): 36-45
  • Overcoming the limitations of conventional vector processors 30th Annual International Symposium on Computer Architecture Kozyrakis, C., Patterson, D. IEEE COMPUTER SOC. 2003: 399–409
  • Vector vs. superscalar and VLIW architectures for embedded multimedia benchmarks 35th Annual IEEE/ACM International Symposium on Microarchitecture Kozyrakis, C., Patterson, D. IEEE COMPUTER SOC. 2002: 283–293
  • Hardware/compiler codevelopment for an embedded media processor PROCEEDINGS OF THE IEEE Kozyrakis, C., Judd, D., Gebis, J., Williams, S., Patterson, D., Yelick, K. 2001; 89 (11): 1694-1709
  • Hardware/compiler Codevelopment for an Embedded Media Processor Kozyrakis, C., Judd, D., Gebis, J., Williams, S., Patterson, D., Yelick, K. 2001
  • Lecture Notes in Computer Science edited by Chong, F., Kozyrakis, C., Oskin, M. 2001
  • Vector IRAM: A Media-oriented Vector Processor with Embedded DRAM Technical Record of the 12th Hot Chips Conference Kozyrakis, C., Gebis, J., Martin, D., Williams, S., Mavroidis, I., Pope, S. 2000
  • Exploiting On-chip Memory Bandwidth in the VIRAM Compiler Judd, D., Yelick, K., Kozyrakis, C., Martin, D., Patterson, D. 2000
  • Explicitly Parallel Architectures for Memory Performance Enhancement Kozyrakis, C. 2000
  • Vector IRAM: A Media-oriented Vector Processor with Embedded DRAM Kozyrakis, C., Gebis, J., Martin, D., Williams, S., Mavroidis, I., Pope, S. 2000
  • A Media-Enhanced Vector Architecture for Embedded Memory Systems Technical Report UCB-CSD-99-1059, University of California at Berkeley Kozyrakis, C., Thesis, M., S. 1999
  • High-Performance Architectures for Embedded Memory Systems Kozyrakis, C. 1999
  • A new direction for computer architecture research COMPUTER Kozyrakis, C. E., Patterson, D. A. 1998; 31 (11): 24-?
  • High-Performance Architectures for Embedded Memory Systems Kozyrakis, C. 1998
  • Scalable processors in the billion-transistor era: IRAM COMPUTER Kozyrakis, C. E., Perissakis, S., PATTERSON, D., ANDERSON, T., Asanovic, K., Cardwell, N., Fromm, R., Golbus, J., Gribstad, B., Keeton, K., Thomas, R., Treuhaft, N., Yelick, K. 1997; 30 (9): 75-?
  • A case for intelligent RAM IEEE MICRO PATTERSON, D., ANDERSON, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C., Thomas, R., Yelick, K. 1997; 17 (2): 34-44
  • Evaluation of Existing Architectures in IRAM Systems Bowman, N., Cardwell, N., Kozyrakis, C., Romer, C., Wang, H. 1997
  • Intelligent RAM (IRAM): the industrial setting, applications, and architectures International Conference on Computer Design - VLSI in Computers and Processors (ICCD 97) PATTERSON, D., Asanovic, K., Brown, A., Fromm, R., Golbus, J., Gribstad, B., Keeton, K., Kozyrakis, C., Martin, D., Prissakis, S., Thomas, R., Treuhaft, N., Yelick, K. IEEE COMPUTER SOC. 1997: 2–7
  • Intelligent RAM (IRAM): Chips that remember and compute 1997 IEEE International Solid-State Circuits Conference PATTERSON, D., ANDERSON, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C., Thomas, R., Yelick, K. IEEE. 1997: 224–225
  • The energy efficiency of IRAM architectures 24th Annual International Symposium on Computer Architecture Fromm, R., Perissakis, S., Cardwell, N., Kozyrakis, C., McGaughy, B., PATTERSON, D., ANDERSON, T., Yelick, K. ASSOC COMPUTING MACHINERY. 1997: 327–337
  • Pipelined Multi-Queue Management in a VLSI ATM Switch Chip with Credit-Based Flow-Control Kornaros, G., Kozyrakis, C., Vatsolaki, P., Katevenis, M. 1997
  • Scalable Processors for the Billion Transistors Era: IRAM IEEE Computer Kozyrakis, C., Perissakis, S., Patterson, D., Yelick, K. 1997; 30 (9): 75-58
  • A Case for Intelligent DRAM: IRAM IEEE Micro Patterson, D., Anderson, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C. 1997; 17 (2): 33-44
  • Intellingent RAM (IRAM): the Industrial Setting, Applications, and Architectures Patterson, D., Asanovic, K., Brown, A., Fromm, R., Golbus, J., Gribstad, B., Kozyrakis, C. 1997
  • Intelligent RAM (IRAM): Chips that Compute and Remember Patterson, D., Anderson, T., Cardwell, N., Fromm, R., Keeton, K., Kozyrakis, C. 1997
  • The Energy Efficiency of IRAM Architectures Fromm, R., Perissakis, S., Cardwell, N., Kozyrakis, C., McGaughy, B., Patterson, D. 1997
  • The Architecture, Operation, and Design of the Queue Management Block in the ATLAS I ATM Switch Technical Report FORTH-ICS/TR-172, Institute of Computer Science (ICS), Foundation for Research and Technology (FORTH), Heraklion, Crete, Greece Kozyrakis, C., Thesis, B., S. 1996
  • Plasticine: A Reconfigurable Architecture For Parallel Patterns ISCA '17: 44th International Symposium on Computer Architecture, June 2017 Prabhakar, R., Zhang, Y., Koeplinger, D., Feldman, M., Zhao, T., Hadjis, S., Pedram, A., Kozyrakis, C., Olukotun, K. 2017

    View details for DOI 10.1145/3079856.3080256