Krishna Saraswat
Rickey/Nielsen Professor in the School of Engineering and Professor, by courtesy, of Materials Science and Engineering
Electrical Engineering
Bio
Saraswat is working on a variety of problems related to new and innovative materials, structures, and process technology of silicon, germanium and III-V devices and interconnects for VLSI and nanoelectronics. Areas of his current interest are: new device structures to continue scaling MOS transistors, DRAMs and flash memories to nanometer regime, 3-dimentional ICs with multiple layers of heterogeneous devices, metal and optical interconnections and high efficiency and low cost solar cells.
Academic Appointments
-
Professor, Electrical Engineering
-
Professor (By courtesy), Materials Science and Engineering
-
Affiliate, Precourt Institute for Energy
Honors & Awards
-
University Researcher of the Year Award, Semiconductor Industry Association (SIA) (2012)
-
Alum of the Year Award, BITS Pilani, India (2012)
-
Technovisionary Award, India Semiconductor Association (2007)
-
Inventor Recognition Award, MARCO/FCR (2007)
-
Andrew S. Grove Award, IEEE (2004)
-
Rickey/Nielsen Professor School of Engineering, Stanford University (2004)
-
Thomas Callinan Award, the Electrochemical Society 1989 Fellow, IEEE (2000)
-
Inventor recognition award, Semiconductor Research Corporation (1987)
Boards, Advisory Committees, Professional Organizations
-
Member of the Board of Directors, Lam Research Corp. (2012 - 2017)
-
Member of the Board of Directors, Photonic Corp. (2007 - 2017)
-
Member of technical advisory Board, Solexel Corp (2007 - 2017)
-
Member of technical advisory Board, Intermolecular (2012 - 2016)
-
Life Fellow, IEEE (1974 - Present)
-
Member of the Board of Directors, Novellus Corp. (2011 - 2012)
Program Affiliations
-
Stanford SystemX Alliance
Professional Education
-
PhD, Stanford University, EE (1974)
-
MS, Stanford University, EE (1969)
-
BE, BITS Pilani, India, Electronics (1968)
Current Research and Scholarly Interests
New and innovative materials, structures, and process technology of semiconductor devices, interconnects for nanoelectronics and solar cells.
2024-25 Courses
-
Independent Studies (10)
- Graduate Independent Study
MATSCI 399 (Aut, Win, Spr, Sum) - Master's Research
MATSCI 200 (Aut, Win, Spr, Sum) - Master's Thesis and Thesis Research
EE 300 (Aut, Win, Spr, Sum) - Ph.D. Research
MATSCI 300 (Aut, Win, Spr, Sum) - Practical Training
MATSCI 299 (Aut, Win, Spr, Sum) - Special Studies and Reports in Electrical Engineering
EE 191 (Aut, Win, Spr, Sum) - Special Studies and Reports in Electrical Engineering
EE 391 (Aut, Win, Spr, Sum) - Special Studies and Reports in Electrical Engineering (WIM)
EE 191W (Aut, Win, Spr, Sum) - Special Studies or Projects in Electrical Engineering
EE 190 (Aut, Win, Spr, Sum) - Special Studies or Projects in Electrical Engineering
EE 390 (Aut, Win, Spr, Sum)
- Graduate Independent Study
-
Prior Year Courses
2023-24 Courses
- Advanced Integrated Circuits Technology
EE 311 (Spr) - Integrated Circuit Fabrication Laboratory
EE 312 (Win)
2021-22 Courses
- Advanced Integrated Circuits Technology
EE 311 (Spr) - Integrated Circuit Fabrication Laboratory
EE 312 (Win)
- Advanced Integrated Circuits Technology
Stanford Advisees
-
Doctoral Dissertation Reader (AC)
Robert Bennett, Jasmine Cox, Sebastian Fernandez, Cassandra Huff, Anand Lalwani, Katie Neilson, Jerry Yang -
Orals Chair
Alex Shearer -
Master's Program Advisor
Jake Cheng, Lara Wagner -
Doctoral (Program)
Louis Blankemeier, Mahnaz Islam, Jeongkyu Kim
All Publications
-
Understanding Interface-Controlled Resistance Drift in Superlattice Phase Change Memory
IEEE ELECTRON DEVICE LETTERS
2022; 43 (10): 1669-1672
View details for DOI 10.1109/LED.2022.3203971
View details for Web of Science ID 000861441600023
-
Fast-Response Flexible Temperature Sensors with Atomically Thin Molybdenum Disulfide.
Nano letters
2022
Abstract
Real-time thermal sensing on flexible substrates could enable a plethora of new applications. However, achieving fast, sub-millisecond response times even in a single sensor is difficult, due to the thermal mass of the sensor and encapsulation. Here, we fabricate flexible monolayer molybdenum disulfide (MoS2) temperature sensors and arrays, which can detect temperature changes within a few microseconds, over 100× faster than flexible thin-film metal sensors. Thermal simulations indicate the sensors' response time is only limited by the MoS2 interfaces and encapsulation. The sensors also have high temperature coefficient of resistance, ∼1-2%/K and stable operation upon cycling and long-term measurement when they are encapsulated with alumina. These results, together with their biocompatibility, make these devices excellent candidates for biomedical sensor arrays and many other Internet of Things applications.
View details for DOI 10.1021/acs.nanolett.2c01344
View details for PubMedID 35899996
-
Unveiling the Effect of Superlattice Interfaces and Intermixing on Phase Change Memory Performance.
Nano letters
2022
Abstract
Superlattice (SL) phase change materials have shown promise to reduce the switching current and resistance drift of phase change memory (PCM). However, the effects of internal SL interfaces and intermixing on PCM performance remain unexplored, although these are essential to understand and ensure reliable memory operation. Here, using nanometer-thin layers of Ge2Sb2Te5 and Sb2Te3 in SL-PCM, we uncover that both switching current density (Jreset) and resistance drift coefficient (v) decrease as the SL period thickness is reduced (i.e., higher interface density); however, interface intermixing within the SL increases both. The signatures of distinct versus intermixed interfaces also show up in transmission electron microscopy, X-ray diffraction, and thermal conductivity measurements of our SL films. Combining the lessons learned, we simultaneously achieve low Jreset 3-4 MA/cm2 and ultralow v 0.002 in mushroom-cell SL-PCM with 110 nm bottom contact diameter, thus advancing SL-PCM technology for high-density storage and neuromorphic applications.
View details for DOI 10.1021/acs.nanolett.2c01869
View details for PubMedID 35876819
-
High-Efficiency WSe2 Photovoltaic Devices with Electron-Selective Contacts.
ACS nano
2022
Abstract
A rapid surge in global energy consumption has led to a greater demand for renewable energy to overcome energy resource limitations and environmental problems. Recently, a number of van der Waals materials have been highlighted as efficient absorbers for very thin and highly efficient photovoltaic (PV) devices. Despite the predicted potential, achieving power conversion efficiencies (PCEs) above 5% in PV devices based on van der Waals materials has been challenging. Here, we demonstrate a vertical WSe2 PV device with a high PCE of 5.44% under one-sun AM1.5G illumination. We reveal the multifunctional nature of a tungsten oxide layer, which promotes a stronger internal electric field by overcoming limitations imposed by the Fermi-level pinning at WSe2 interfaces and acts as an electron-selective contact in combination with monolayer graphene. Together with the developed bottom contact scheme, this simple yet effective contact engineering method improves the PCE by more than five times.
View details for DOI 10.1021/acsnano.1c10054
View details for PubMedID 35435652
-
Direct measurement of nanoscale filamentary hot spots in resistive memory devices.
Science advances
2022; 8 (13): eabk1514
Abstract
Resistive random access memory (RRAM) is an important candidate for both digital, high-density data storage and for analog, neuromorphic computing. RRAM operation relies on the formation and rupture of nanoscale conductive filaments that carry enormous current densities and whose behavior lies at the heart of this technology. Here, we directly measure the temperature of these filaments in realistic RRAM with nanoscale resolution using scanning thermal microscopy. We use both conventional metal and ultrathin graphene electrodes, which enable the most thermally intimate measurement to date. Filaments can reach 1300°C during steady-state operation, but electrode temperatures seldom exceed 350°C because of thermal interface resistance. These results reveal the importance of thermal engineering for nanoscale RRAM toward ultradense data storage or neuromorphic operation.
View details for DOI 10.1126/sciadv.abk1514
View details for PubMedID 35353574
-
High-specific-power flexible transition metal dichalcogenide solar cells.
Nature communications
2021; 12 (1): 7034
Abstract
Semiconducting transition metal dichalcogenides (TMDs) are promising for flexible high-specific-power photovoltaics due to their ultrahigh optical absorption coefficients, desirable band gaps and self-passivated surfaces. However, challenges such as Fermi-level pinning at the metal contact-TMD interface and the inapplicability of traditional doping schemes have prevented most TMD solar cells from exceeding 2% power conversion efficiency (PCE). In addition, fabrication on flexible substrates tends to contaminate or damage TMD interfaces, further reducing performance. Here, we address these fundamental issues by employing: (1) transparent graphene contacts to mitigate Fermi-level pinning, (2) MoOx capping for doping, passivation and anti-reflection, and (3) a clean, non-damaging direct transfer method to realize devices on lightweight flexible polyimide substrates. These lead to record PCE of 5.1% and record specific power of 4.4Wg-1 for flexible TMD (WSe2) solar cells, the latter on par with prevailing thin-film solar technologies cadmium telluride, copper indium gallium selenide, amorphous silicon and III-Vs. We further project that TMD solar cells could achieve specific power up to 46Wg-1, creating unprecedented opportunities in a broad range of industries from aerospace to wearable and implantable electronics.
View details for DOI 10.1038/s41467-021-27195-7
View details for PubMedID 34887383
-
Toward Low-Temperature Solid-Source Synthesis of Monolayer MoS2.
ACS applied materials & interfaces
2021
Abstract
Two-dimensional (2D) semiconductors have been proposed for heterogeneous integration with existing silicon technology; however, their chemical vapor deposition (CVD) growth temperatures are often too high. Here, we demonstrate direct CVD solid-source precursor synthesis of continuous monolayer (1L) MoS2 films at 560 °C in 50 min, within the 450-to-600 °C, 2 h thermal budget window required for back-end-of-the-line compatibility with modern silicon technology. Transistor measurements reveal on-state current up to 140 muA/mum at 1 V drain-to-source voltage for 100 nm channel lengths, the highest reported to date for 1L MoS2 grown below 600 °C using solid-source precursors. The effective mobility from transfer length method test structures is 29 ± 5 cm2 V-1 s-1 at 6.1 * 1012 cm-2 electron density, which is comparable to mobilities reported from films grown at higher temperatures. The results of this work provide a path toward the realization of high-quality, thermal-budget-compatible 2D semiconductors for heterogeneous integration with silicon manufacturing.
View details for DOI 10.1021/acsami.1c06812
View details for PubMedID 34427445
-
Strong Reduction in Ge Film Reflectivity by an Overlayer of 3 nm Si Nanoparticles: Implications for Photovoltaics
ACS APPLIED NANO MATERIALS
2021; 4 (5): 4602-4614
View details for DOI 10.1021/acsanm.1c00107
View details for Web of Science ID 000657373800034
-
High-Performance p-n Junction Transition Metal Dichalcogenide Photovoltaic Cells Enabled by MoOx Doping and Passivation.
Nano letters
2021
Abstract
Layered semiconducting transition metal dichalcogenides (TMDs) are promising materials for high-specific-power photovoltaics due to their excellent optoelectronic properties. However, in practice, contacts to TMDs have poor charge carrier selectivity, while imperfect surfaces cause recombination, leading to a low open-circuit voltage (VOC) and therefore limited power conversion efficiency (PCE) in TMD photovoltaics. Here, we simultaneously address these fundamental issues with a simple MoOx (x 3) surface charge-transfer doping and passivation method, applying it to multilayer tungsten disulfide (WS2) Schottky-junction solar cells with initially near-zero VOC. Doping and passivation turn these into lateral p-n junction photovoltaic cells with a record VOC of 681 mV under AM 1.5G illumination, the highest among all p-n junction TMD solar cells with a practical design. The enhanced VOC also leads to record PCE in ultrathin (<90 nm) WS2 photovoltaics. This easily scalable doping and passivation scheme is expected to enable further advances in TMD electronics and optoelectronics.
View details for DOI 10.1021/acs.nanolett.1c00015
View details for PubMedID 33852295
-
Sub-200 Omega.mu m Alloyed Contacts to Synthetic Monolayer MoS2
IEEE. 2021
View details for DOI 10.1109/IEDM19574.2021.9720609
View details for Web of Science ID 000812325400111
-
Improved Contacts to Synthetic Monolayer MoS2 - A Statistical Study
IEEE. 2021
View details for DOI 10.1109/IITC51362.2021.9537515
View details for Web of Science ID 000784773200044
-
Sixteen Channel Array Coil Optimization for Real-Time MRI Study of Granular Dynamics
IEEE. 2021
View details for DOI 10.1109/ACES53325.2021.00187
View details for Web of Science ID 000724169800101
-
Free-standing 2.7 mu m thick ultrathin crystalline silicon solar cell with efficiency above 12.0% (vol 70, 104466, 2020)
NANO ENERGY
2020; 72
View details for DOI 10.1016/j.nanoen.2020.104709
View details for Web of Science ID 000532790600006
-
Free-standing 2.7 mu m thick ultrathin crystalline silicon solar cell with efficiency above 12.0%
NANO ENERGY
2020; 70
View details for DOI 10.1016/j.nanoen.2020.104466
View details for Web of Science ID 000521052900040
-
Doped WS2 transistors with large on-off ratio and high on-current
IEEE. 2020
View details for Web of Science ID 000615719100013
-
Silicon compatible optical interconnect and monolithic 3-D integration
IEEE. 2020
View details for DOI 10.1109/IEDM13553.2020.9372100
View details for Web of Science ID 000717011600201
-
Device and materials requirements for neuromorphic computing
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2019; 52 (11)
View details for DOI 10.1088/1361-6463/aaf784
View details for Web of Science ID 000456266700001
-
On the limit of defect doping in transition metal oxides
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
2019; 37 (2)
View details for DOI 10.1116/1.5055563
View details for Web of Science ID 000460437200050
-
Silicon-Compatible Fabrication of Inverse Woodpile Photonic Crystals with a Complete Band Gap
ACS PHOTONICS
2019; 6 (2): 368–73
View details for DOI 10.1021/acsphotonics.8b01000
View details for Web of Science ID 000459642800018
-
Infrared Detectable MoS2 Phototransistor and Its Application to Artificial Multilevel Optic-Neural Synapse.
ACS nano
2019
Abstract
Layered two-dimensional (2D) materials have entered the spotlight as promising channel materials for future optoelectronic devices owing to their excellent electrical and optoelectronic properties. However, their limited photodetection range caused by their wide bandgap remains a principal challenge in 2D layered materials-based phototransistors. Here, we developed a germanium (Ge)-gated MoS2 phototransistor that can detect light in the region from visible to infrared (λ = 520-1550 nm) using a detection mechanism based on band bending modulation. In addition, the Ge-gated MoS2 phototransistor is proposed as a multilevel optic-neural synaptic device, which performs both optical-sensing and synaptic functions on one device and is operated in different current ranges according to the light conditions: dark, visible, and infrared. This study is expected to contribute to the development of 2D material-based phototransistors and synaptic devices in next-generation optoelectronics.
View details for DOI 10.1021/acsnano.9b03683
View details for PubMedID 31469532
-
Towards high V-oc, thin film, homojunction WS2 solar cells for energy harvesting applications
SPIE-INT SOC OPTICAL ENGINEERING. 2019
View details for DOI 10.1117/12.2533007
View details for Web of Science ID 000511164400001
-
Limitation of Optical Enhancement in Ultra-thin Solar Cells Imposed by Contact Selectivity
SCIENTIFIC REPORTS
2018; 8: 8863
Abstract
Ultra-thin crystalline silicon (c-Si) solar cell suffers both from poor light absorption and minority carrier recombination at the contacts resulting in low contact selectivity. Yet most of the research focuses on improving the light absorption by introducing novel light trapping technique. Our work shows that for ultra-thin absorber, the benefit of optical enhancement is limited by low contact selectivity. Using simulation we observe that performance enhancement from light trapping starts to saturate as the absorber scales down because of the increase in probability of the photo-generated carriers to recombine at the metal contact. Therefore, improving the carrier selectivity of the contacts, which reduces the recombination at contacts, is important to improve the performance of the solar cell beyond what is possible by enhancing light absorption only. The impact of improving contact selectivity increases as the absorber thickness scales below 20 micrometer (μm). Light trapping provides better light management and improving contact selectivity provides better photo-generated carrier management. When better light management increases the number of photo-generated carriers, better carrier management is a useful optimization knob to achieve the efficiency close to the thermodynamic limit. Our work explores a design trade-off in detail which is often overlooked by the research community.
View details for PubMedID 29891992
-
Carrier-selective interlayer materials for silicon solar cell contacts
JOURNAL OF APPLIED PHYSICS
2018; 123 (14)
View details for DOI 10.1063/1.5020056
View details for Web of Science ID 000430014600001
-
Room temperature lasing unraveled by a strong resonance between gain and parasitic absorption in uniaxially strained germanium
PHYSICAL REVIEW B
2018; 97 (15)
View details for DOI 10.1103/PhysRevB.97.155127
View details for Web of Science ID 000429930900002
-
Investigation of Nickel Oxide as Carrier-selective Interlayer for Silicon Solar Cell Contacts
IEEE. 2018: 2180–82
View details for Web of Science ID 000469200402050
-
Low-threshold optically pumped lasing in highly strained germanium nanowires
NATURE COMMUNICATIONS
2017; 8
View details for DOI 10.1038/s41467-017-02026-w
View details for Web of Science ID 000416410700004
-
Low-threshold optically pumped lasing in highly strained germanium nanowires.
Nature communications
2017; 8 (1): 1845
Abstract
The integration of efficient, miniaturized group IV lasers into CMOS architecture holds the key to the realization of fully functional photonic-integrated circuits. Despite several years of progress, however, all group IV lasers reported to date exhibit impractically high thresholds owing to their unfavourable bandstructures. Highly strained germanium with its fundamentally altered bandstructure has emerged as a potential low-threshold gain medium, but there has yet to be a successful demonstration of lasing from this seemingly promising material system. Here we demonstrate a low-threshold, compact group IV laser that employs a germanium nanowire under a 1.6% uniaxial tensile strain as the gain medium. The amplified material gain in strained germanium can sufficiently overcome optical losses at 83 K, thus allowing the observation of multimode lasing with an optical pumping threshold density of ~3.0 kW cm-2. Our demonstration opens new possibilities for group IV lasers for photonic-integrated circuits.
View details for DOI 10.1038/s41467-017-02026-w
View details for PubMedID 29184064
View details for PubMedCentralID PMC5705600
-
Contact Selectivity Engineering in a 2 mum Thick Ultrathin c-Si Solar Cell Using Transition-Metal Oxides Achieving an Efficiency of 10.8.
ACS applied materials & interfaces
2017
Abstract
In this paper, the integration of metal oxides as carrier-selective contacts for ultrathin crystalline silicon (c-Si) solar cells is demonstrated which results in an 13% relative improvement in efficiency. The improvement in efficiency originates from the suppression of the contact recombination current due to the band offset asymmetry of these oxides with Si. First, an ultrathin c-Si solar cell having a total thickness of 2 mum is shown to have >10% efficiency without any light-trapping scheme. This is achieved by the integration of nickel oxide (NiOx) as a hole-selective contact interlayer material, which has a low valence band offset and high conduction band offset with Si. Second, we show a champion cell efficiency of 10.8% with the additional integration of titanium oxide (TiOx), a well-known material for an electron-selective contact interlayer. Key parameters including Voc and Jsc also show different degrees of enhancement if single (NiOx only) or double (both NiOx and TiOx) carrier-selective contacts are integrated. The fabrication process for TiOx and NiOx layer integration is scalable and shows good compatibility with the device.
View details for PubMedID 29124928
-
Nanoislands-Based Charge Trapping Memory: A Scalability Study
IEEE TRANSACTIONS ON NANOTECHNOLOGY
2017; 16 (6): 1143–46
View details for DOI 10.1109/TNANO.2017.2764745
View details for Web of Science ID 000415707200034
-
Three-dimensional integration of nanotechnologies for computing and data storage on a single chip
NATURE
2017; 547 (7661): 74-+
Abstract
The computing demands of future data-intensive applications will greatly exceed the capabilities of current electronics, and are unlikely to be met by isolated improvements in transistors, data storage technologies or integrated circuit architectures alone. Instead, transformative nanosystems, which use new nanotechnologies to simultaneously realize improved devices and new integrated circuit architectures, are required. Here we present a prototype of such a transformative nanosystem. It consists of more than one million resistive random-access memory cells and more than two million carbon-nanotube field-effect transistors-promising new nanotechnologies for use in energy-efficient digital logic circuits and for dense data storage-fabricated on vertically stacked layers in a single chip. Unlike conventional integrated circuit architectures, the layered fabrication realizes a three-dimensional integrated circuit architecture with fine-grained and dense vertical connectivity between layers of computing, data storage, and input and output (in this instance, sensing). As a result, our nanosystem can capture massive amounts of data every second, store it directly on-chip, perform in situ processing of the captured data, and produce 'highly processed' information. As a working prototype, our nanosystem senses and classifies ambient gases. Furthermore, because the layers are fabricated on top of silicon logic circuitry, our nanosystem is compatible with existing infrastructure for silicon-based technologies. Such complex nano-electronic systems will be essential for future high-performance and highly energy-efficient electronic systems.
View details for PubMedID 28682331
-
) Due to UV/Ozone Treatment.
ACS applied materials & interfaces
2017; 9 (20): 17201-17207
Abstract
Drastic reduction in nickel oxide (NiOx) film resistivity and ionization potential is observed when subjected to ultraviolet (UV)/ozone (O3) treatment. X-ray photoemission spectroscopy suggests that UV/O3 treatment changes the film stoichiometry by introducing Ni vacancy defects. Oxygen-rich NiOx having Ni vacancy defects behaves as a p-type semiconductor. Therefore, in this work, a simple and effective technique to introduce doping in NiOx is shown. Angle-resolved XPS reveals that the effect of UV/O3 treatment does not only alter the film surface property but also introduces oxygen-rich stoichiometry throughout the depth of the film. Finally, simple metal/interlayer/semiconductor (MIS) contacts are fabricated on p-type Si using NiOx as the interlayer and different metals. Significant barrier height reduction is observed with respect to the control sample following UV/O3 treatment, which is in agreement with the observed reduction in film resistivity. From an energy band diagram point of view, the introduction of the UV/O3 treatment changes the defect state distribution, resulting in a change in the pinning of the Fermi level. Therefore, this work also shows that the Fermi level pinning property of NiOx can be controlled using UV/O3 treatment.
View details for DOI 10.1021/acsami.7b01629
View details for PubMedID 28447776
-
Passivation of multiple-quantum-well Ge0.97Sn0.03/Ge p-i-n photodetectors
APPLIED PHYSICS LETTERS
2017; 110 (9)
View details for DOI 10.1063/1.4977878
View details for Web of Science ID 000397871600009
-
Single-Event Measurement and Analysis of Antimony-Based p-Channel Quantum-Well MOSFETs With High-kappa Dielectric
IEEE TRANSACTIONS ON NUCLEAR SCIENCE
2017; 64 (1): 434-440
View details for DOI 10.1109/TNS.2016.2637923
View details for Web of Science ID 000396404500062
-
Si Heterojunction Solar Cells: A Simulation Study of the Design Issues
IEEE TRANSACTIONS ON ELECTRON DEVICES
2016; 63 (12): 4788-4795
View details for DOI 10.1109/TED.2016.2613057
View details for Web of Science ID 000389342200028
-
Anomalous threshold reduction from < 100 > uniaxial strain for a low-threshold Ge laser
OPTICS COMMUNICATIONS
2016; 379: 32-35
View details for DOI 10.1016/j.optcom.2016.05.030
View details for Web of Science ID 000378770600006
-
Theoretical Modeling for the Interaction of Tin Alloying With N-Type Doping and Tensile Strain for GeSn Lasers
IEEE ELECTRON DEVICE LETTERS
2016; 37 (10): 1307-1310
View details for DOI 10.1109/LED.2016.2603162
View details for Web of Science ID 000385371100013
-
Improved Contacts to MoS2 Transistors by Ultra-High Vacuum Metal Deposition
NANO LETTERS
2016; 16 (6): 3824-3830
Abstract
The scaling of transistors to sub-10 nm dimensions is strongly limited by their contact resistance (RC). Here we present a systematic study of scaling MoS2 devices and contacts with varying electrode metals and controlled deposition conditions, over a wide range of temperatures (80 to 500 K), carrier densities (10(12) to 10(13) cm(-2)), and contact dimensions (20 to 500 nm). We uncover that Au deposited in ultra-high vacuum (∼10(-9) Torr) yields three times lower RC than under normal conditions, reaching 740 Ω·μm and specific contact resistivity 3 × 10(-7) Ω·cm(2), stable for over four months. Modeling reveals separate RC contributions from the Schottky barrier and the series access resistance, providing key insights on how to further improve scaling of MoS2 contacts and transistor dimensions. The contact transfer length is ∼35 nm at 300 K, which is verified experimentally using devices with 20 nm contacts and 70 nm contact pitch (CP), equivalent to the "14 nm" technology node.
View details for DOI 10.1021/acs.nanolett.6b01309
View details for Web of Science ID 000377642700060
View details for PubMedID 27232636
-
Direct Bandgap Light Emission from Strained Germanium Nanowires Coupled with High-Q Nanophotonic Cavities.
Nano letters
2016; 16 (4): 2168-2173
Abstract
A silicon-compatible light source is the final missing piece for completing high-speed, low-power on-chip optical interconnects. In this paper, we present a germanium nanowire light emitter that encompasses all the aspects of potential low-threshold lasers: highly strained germanium gain medium, strain-induced pseudoheterostructure, and high-Q nanophotonic cavity. Our nanowire structure presents greatly enhanced photoluminescence into cavity modes with measured quality factors of up to 2000. By varying the dimensions of the germanium nanowire, we tune the emission wavelength over more than 400 nm with a single lithography step. We find reduced optical loss in optical cavities formed with germanium under high (>2.3%) tensile strain. Our compact, high-strain cavities open up new possibilities for low-threshold germanium-based lasers for on-chip optical interconnects.
View details for DOI 10.1021/acs.nanolett.5b03976
View details for PubMedID 26907359
-
Impact of minority carrier lifetime on the performance of strained germanium light sources
OPTICS COMMUNICATIONS
2016; 364: 233-237
View details for DOI 10.1016/j.optcom.2015.11.060
View details for Web of Science ID 000369231400035
-
Ultimate limits of biaxial tensile strain and n-type doping for realizing an efficient low-threshold Ge laser
JAPANESE JOURNAL OF APPLIED PHYSICS
2016; 55 (2)
View details for DOI 10.7567/JJAP.55.024301
View details for Web of Science ID 000369005300026
-
56 Gb/s Germanium Waveguide Electro-Absorption Modulator
JOURNAL OF LIGHTWAVE TECHNOLOGY
2016; 34 (2): 419-424
View details for DOI 10.1109/JLT.2015.2478601
View details for Web of Science ID 000370960600025
-
Ge microdisk with lithographically-tunable strain using CMOS-compatible process
OPTICS EXPRESS
2015; 23 (26): 33249-33254
Abstract
We present germanium microdisk optical resonators under a large biaxial tensile strain using a CMOS-compatible fabrication process. Biaxial tensile strain of ~0.7% is achieved by means of a stress concentration technique that allows the strain level to be customized by carefully selecting certain lithographic dimensions. The partial strain relaxation at the edges of a patterned germanium microdisk is compensated by depositing compressively stressed silicon nitride layer. Two-dimensional Raman spectroscopy measurements along with finite-element method simulations confirm a relatively homogeneous strain distribution within the final microdisk structure. Photoluminescence results show clear optical resonances due to whispering gallery modes which are in good agreement with finite-difference time-domain optical simulations. Our bandgap-customizable microdisks present a new route towards an efficient germanium light source for on-chip optical interconnects.
View details for DOI 10.1364/OE.23.033249
View details for Web of Science ID 000368004600037
-
Surface Passivation of Germanium Using SF6 Plasma to Reduce Source/Drain Contact Resistance in Germanium n-FET
IEEE ELECTRON DEVICE LETTERS
2015; 36 (8): 745-747
View details for DOI 10.1109/LED.2015.2440434
View details for Web of Science ID 000358570300003
-
Bandgap-customizable germanium using lithographically determined biaxial tensile strain for silicon-compatible optoelectronics
OPTICS EXPRESS
2015; 23 (13): 16740-16749
Abstract
Strain engineering has proven to be vital for germanium-based photonics, in particular light emission. However, applying a large permanent biaxial tensile strain to germanium has been a challenge. We present a simple, CMOS-compatible technique to conveniently induce a large, spatially homogenous strain in circular structures patterned within germanium nanomembranes. Our technique works by concentrating and amplifying a pre-existing small strain into a circular region. Biaxial tensile strains as large as 1.11% are observed by Raman spectroscopy and are further confirmed by photoluminescence measurements, which show enhanced and redshifted light emission from the strained germanium. Our technique allows the amount of biaxial strain to be customized lithographically, allowing the bandgaps of different germanium structures to be independently customized in a single mask process.
View details for DOI 10.1364/OE.23.016740
View details for Web of Science ID 000358543300026
-
Monolithic integration of germanium-on-insulator p-i-n photodetector on silicon
OPTICS EXPRESS
2015; 23 (12): 15816-15823
Abstract
A germanium-on-insulator (GOI) p-i-n photodetector, monolithically integrated on a silicon (Si) substrate, is demonstrated. GOI is formed by lateral-overgrowth (LAT-OVG) of Ge on silicon dioxide (SiO(2)) through windows etched in SiO(2) on Si. The photodetector shows excellent diode characteristics with high on/off ratio (6 × 10(4)), low dark current, and flat reverse current-voltage (I-V) characteristics. Enhanced light absorption up to 1550 nm is observed due to the residual biaxial tensile strain induced during the epitaxial growth of Ge caused by cooling after the deposition. This truly Si-compatible Ge photodetector using monolithic integration enables new opportunities for high-performance GOI based photonic devices on Si platform.
View details for DOI 10.1364/OE.23.015816
View details for Web of Science ID 000356902500068
-
Lateral overgrowth of germanium for monolithic integration of germanium-on-insulator on silicon
JOURNAL OF CRYSTAL GROWTH
2015; 416: 21-27
View details for DOI 10.1016/j.jcrysgro.2014.11.004
View details for Web of Science ID 000350748000005
-
Reduction of Surface Roughness in Epitaxially Grown Germanium by Controlled Thermal Oxidation
IEEE ELECTRON DEVICE LETTERS
2015; 36 (4): 297-299
View details for DOI 10.1109/LED.2015.2404814
View details for Web of Science ID 000351743900003
-
The Efficacy of Metal-Interfacial Layer-Semiconductor Source/Drain Structure on Sub-10-nm n-Type Ge FinFET Performances
IEEE ELECTRON DEVICE LETTERS
2014; 35 (12): 1185-1187
View details for DOI 10.1109/LED.2014.2364574
View details for Web of Science ID 000345575400008
-
Schottky barrier height reduction for holes by Fermi level depinning using metal/nickel oxide/silicon contacts
APPLIED PHYSICS LETTERS
2014; 105 (18)
View details for DOI 10.1063/1.4901193
View details for Web of Science ID 000345000000037
-
Observation of improved minority carrier lifetimes in high-quality Ge-on-insulator using time-resolved photoluminescence
OPTICS LETTERS
2014; 39 (21): 6205-6208
Abstract
We report improved minority carrier lifetimes in n-type-doped and tensile-strained germanium by measuring direct bandgap photoluminescence from germanium-on-insulator substrates with various levels of defect density. We first describe a method to fabricate a high-quality germanium-on-insulator substrate by employing direct wafer bonding and chemical-mechanical polishing. Raman spectroscopy measurement was performed to assess the purity of the transferred layer on an insulator. Using time-resolved photoluminescence decay measurement, we observe that minority carrier lifetimes can be improved by over a factor of 3 as the defective top interface of our material stack is removed. Our high-quality germanium-on-insulator should be an ideal platform for high-performance, germanium-based photonic devices for on-chip optical interconnects.
View details for DOI 10.1364/OL.39.006205
View details for Web of Science ID 000344985900030
-
Specific Contact Resistivity Reduction Through Ar Plasma-Treated TiO2-x Interfacial Layer to Metal/Ge Contact
IEEE ELECTRON DEVICE LETTERS
2014; 35 (11): 1076-1078
View details for DOI 10.1109/LED.2014.2354679
View details for Web of Science ID 000344588100002
-
New materials for post-Si computing: Ge and GeSn devices
MRS BULLETIN
2014; 39 (8): 678-686
View details for DOI 10.1557/mrs.2014.163
View details for Web of Science ID 000341107900009
-
Study of Carrier Statistics in Uniaxially Strained Ge for a Low-Threshold Ge Laser
IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS
2014; 20 (4)
View details for DOI 10.1109/JSTQE.2013.2293764
View details for Web of Science ID 000330317900002
-
Analytical Study of Interfacial Layer Doping Effect on Contact Resistivity in Metal-Interfacial Layer-Ge Structure
IEEE ELECTRON DEVICE LETTERS
2014; 35 (7): 705-707
View details for DOI 10.1109/LED.2014.2323256
View details for Web of Science ID 000338662100006
-
Direct bandgap germanium-on-silicon inferred from 5.7% < 100 > uniaxial tensile strain [Invited]
PHOTONICS RESEARCH
2014; 2 (3): A8-A13
View details for DOI 10.1364/PRJ.2.0000A8
View details for Web of Science ID 000353881100002
-
7-nm FinFET CMOS Design Enabled by Stress Engineering Using Si, Ge, and Sn
IEEE TRANSACTIONS ON ELECTRON DEVICES
2014; 61 (5): 1222-1230
View details for DOI 10.1109/TED.2014.2311129
View details for Web of Science ID 000337753300001
-
Demonstration of a Ge/GeSn/Ge Quantum-Well Microdisk Resonator on Silicon: Enabling High-Quality Ge(Sn) Materials for Micro- and Nanophotonics.
Nano letters
2014; 14 (1): 37-43
Abstract
We theoretically study and experimentally demonstrate a pseudomorphic Ge/Ge0.92Sn0.08/Ge quantum-well microdisk resonator on Ge/Si (001) as a route toward a compact GeSn-based laser on silicon. The structure theoretically exhibits many electronic and optical advantages in laser design, and microdisk resonators using these structures can be precisely fabricated away from highly defective regions in the Ge buffer using a novel etch-stop process. Photoluminescence measurements on 2.7 μm diameter microdisks reveal sharp whispering-gallery-mode resonances (Q > 340) with strong luminescence.
View details for DOI 10.1021/nl402815v
View details for PubMedID 24299070
-
Improving Contact Resistance in MoS2 Field Effect Transistors
72nd Annual Device Research Conference (DRC)
IEEE. 2014: 193–194
View details for Web of Science ID 000346309800089
-
Atomic layer deposition of Al2O3 on germanium-tin (GeSn) and impact of wet chemical surface pre-treatment
APPLIED PHYSICS LETTERS
2013; 103 (24)
View details for DOI 10.1063/1.4850518
View details for Web of Science ID 000328706500018
-
Antimonide-Based Heterostructure p-Channel MOSFETs With Ni-Alloy Source/Drain
IEEE ELECTRON DEVICE LETTERS
2013; 34 (11): 1367-1369
View details for DOI 10.1109/LED.2013.2280615
View details for Web of Science ID 000326284100005
-
Effects of point defect healing on phosphorus implanted germanium n(+)/p junction and its thermal stability
JOURNAL OF APPLIED PHYSICS
2013; 114 (9)
View details for DOI 10.1063/1.4820580
View details for Web of Science ID 000324386900079
-
Theoretical Analysis of GeSn Alloys as a Gain Medium for a Si-Compatible Laser
IEEE JOURNAL OF SELECTED TOPICS IN QUANTUM ELECTRONICS
2013; 19 (5)
View details for DOI 10.1109/JSTQE.2013.2241397
View details for Web of Science ID 000323210900003
-
Highly Selective Dry Etching of Germanium over Germanium-Tin (Ge1-xSnx): A Novel Route for Ge1-xSnx Nanostructure Fabrication.
Nano letters
2013; 13 (8): 3783-3790
Abstract
We present a new etch chemistry that enables highly selective dry etching of germanium over its alloy with tin (Ge(1-x)Sn(x)). We address the challenges in synthesis of high-quality, defect-free Ge(1-x)Sn(x) thin films by using Ge virtual substrates as a template for Ge(1-x)Sn(x) epitaxy. The etch process is applied to selectively remove the stress-inducing Ge virtual substrate and achieve strain-free, direct band gap Ge0.92Sn0.08. The semiconductor processing technology presented in this work provides a robust method for fabrication of innovative Ge(1-x)Sn(x) nanostructures whose realization can prove to be challenging, if not impossible, otherwise.
View details for DOI 10.1021/nl4017286
View details for PubMedID 23834495
-
Experimental and theoretical investigation of phosphorus in-situ doping of germanium epitaxial layers
CURRENT APPLIED PHYSICS
2013; 13 (6): 1060-1063
View details for DOI 10.1016/j.cap.2013.02.021
View details for Web of Science ID 000318568800021
-
Strain-induced pseudoheterostructure nanowires confining carriers at room temperature with nanoscale-tunable band profiles.
Nano letters
2013; 13 (7): 3118-3123
Abstract
Semiconductor heterostructures play a vital role in photonics and electronics. They are typically realized by growing layers of different materials, complicating fabrication and limiting the number of unique heterojunctions on a wafer. In this Letter, we present single-material nanowires which behave exactly like traditional heterostructures. These pseudoheterostructures have electronic band profiles that are custom-designed at the nanoscale by strain engineering. Since the band profile depends only on the nanowire geometry with this approach, arbitrary band profiles can be individually tailored at the nanoscale using existing nanolithography. We report the first experimental observations of spatially confined, greatly enhanced (>200×), and wavelength-shifted (>500 nm) emission from strain-induced potential wells that facilitate effective carrier collection at room temperature. This work represents a fundamentally new paradigm for creating nanoscale devices with full heterostructure behavior in photonics and electronics.
View details for DOI 10.1021/nl401042n
View details for PubMedID 23758608
-
Strain-Induced Pseudoheterostructure Nanowires Confining Carriers at Room Temperature with Nanoscale-Tunable Band Profiles
NANO LETTERS
2013; 13 (7): 3118-3123
Abstract
Semiconductor heterostructures play a vital role in photonics and electronics. They are typically realized by growing layers of different materials, complicating fabrication and limiting the number of unique heterojunctions on a wafer. In this Letter, we present single-material nanowires which behave exactly like traditional heterostructures. These pseudoheterostructures have electronic band profiles that are custom-designed at the nanoscale by strain engineering. Since the band profile depends only on the nanowire geometry with this approach, arbitrary band profiles can be individually tailored at the nanoscale using existing nanolithography. We report the first experimental observations of spatially confined, greatly enhanced (>200×), and wavelength-shifted (>500 nm) emission from strain-induced potential wells that facilitate effective carrier collection at room temperature. This work represents a fundamentally new paradigm for creating nanoscale devices with full heterostructure behavior in photonics and electronics.
View details for DOI 10.1021/nl401042n
View details for Web of Science ID 000321884300019
-
Hole Mobility Enhancement in Compressively Strained Ge0.93Sn0.07 pMOSFETs
IEEE ELECTRON DEVICE LETTERS
2013; 34 (7): 831-833
View details for DOI 10.1109/LED.2013.2259573
View details for Web of Science ID 000323685700003
-
Electrical Characterization of GaP-Silicon Interface for Memory and Transistor Applications
IEEE TRANSACTIONS ON ELECTRON DEVICES
2013; 60 (7): 2238-2245
View details for DOI 10.1109/TED.2013.2264495
View details for Web of Science ID 000320870000021
-
Achieving direct band gap in germanium through integration of Sn alloying and external strain
JOURNAL OF APPLIED PHYSICS
2013; 113 (7)
View details for DOI 10.1063/1.4792649
View details for Web of Science ID 000315262800027
-
Material characterization of high Sn-content, compressively-strained GeSn epitaxial films after rapid thermal processing
JOURNAL OF CRYSTAL GROWTH
2013; 365: 29-34
View details for DOI 10.1016/j.jcrysgro.2012.12.014
View details for Web of Science ID 000314629300006
-
Effects of Thermal Annealing on In Situ Phosphorus-Doped Germanium n(+)/p Junction
IEEE ELECTRON DEVICE LETTERS
2013; 34 (1): 15-17
View details for DOI 10.1109/LED.2012.2226016
View details for Web of Science ID 000312834200005
-
Effects of Oxidant Dosing on GaSb (100) prior to Atomic Layer Deposition and High-Performance Antimonide-based P-Channel MOSFETs with Ni-alloy S/D
71st Device Research Conference (DRC)
IEEE. 2013: 25–26
View details for Web of Science ID 000347466000022
-
GaP Source-Drain SOI 1T-DRAM: Solving the Key Technological Challenges
IEEE International SOI-3D-Subthreshold Microelectronics Technology Unified Conference
IEEE. 2013
View details for Web of Science ID 000350882400063
-
A Group IV Solution for 7 nm FinFET CMOS: Stress Engineering Using Si, Ge and Sn
IEEE International Electron Devices Meeting (IEDM)
IEEE. 2013
View details for Web of Science ID 000346509500159
-
GaP Source-Drain Vertical Transistor on Bulk Silicon for 1-Transistor DRAM Application
5th IEEE International Memory Workshop (IMW)
IEEE. 2013: 192–195
View details for Web of Science ID 000327312700050
-
Germanium on insulator (GOI) Structure Locally Grown on Silicon Using Hetero Epitaxial Lateral Overgrowth
IEEE International SOI-3D-Subthreshold Microelectronics Technology Unified Conference
IEEE. 2013
View details for Web of Science ID 000350882400061
-
Approaches for a Viable Germanium Laser: Tensile Strain, GeSn Alloys, and n-Type Doping
2nd IEEE-Photonics-Society Optical Interconnects Conference
IEEE. 2013: 112–113
View details for Web of Science ID 000325206200055
- Germanium on Insulator (GOI) Structure Locally Grown on Silicon Using Hetero Epitaxial Lateral Overgrowth 2013
- Limits of Specific Contact Resistivity to Si, Ge and III-V Semiconductors Using Interfacial Layers 2013
- Performance Limitation of CMOS with Cu/low-k Interconnects and Possible Future Alternatives 2013
-
Strain-Induced Pseudo-Heterostructure Nanowires Confining 2 Carriers at Room Temperature with Nanoscale-Tunable Band Profiles
Nano Letters
2013
View details for DOI 10.1021/nl401042n
- Electrical Characterization of GaP-Silicon Interface for Memory and Transistor Applications IEEE Trans. Electron Dev. 2013; 60 (7): 2238 – 2245
- GaP Source-Drain Vertical Transistor on Bulk Silicon for 1-Transistor DRAM Application IEEE International Memory Workshop 2013
- Solid Phase Epitaxial Re-Growth Of Sn Ion Implanted Germanium Thin Films 2013
- GaP Source-Drain SOI 1T-DRAM: Solving the Key Technological Challenges 2013
- Surface Passivation of III-V Antimonides and Ge Based MOSFET 2013
- Performance Limitation of Cu/low-k Interconnects and Possible Future Alternatives 2013
- Si Compatible Ge Based Devices for Optical Interconnects 2013
- A Group IV Solution for 7nm FinFET CMOS: Stress Engineering Using Si, Ge and Sn IEEE IEDM 2013
- Hole Mobility Enhancement in Compressively Strained Ge0.93Sn0.07 pMOSFETs IEEE Electron Dev. Lett. 2013; 34 (7): 831 – 833
- Stanford Engineering & Research on Materials and Device International Workshop on Nanodevice Technologies 2013, 2013
- Effects of point defect healing on phosphorus implanted germanium n+/p junction and its thermal stability J. Appl. Phys. 2013; 114: 94515
-
Highly selective dry etching of germanium over germanium-tin (GeSn) alloys: A novel route for GeSn nanostructure fabrication
Nano Letters
2013: 3783–90
Abstract
We present a new etch chemistry that enables highly selective dry etching of germanium over its alloy with tin (Ge(1-x)Sn(x)). We address the challenges in synthesis of high-quality, defect-free Ge(1-x)Sn(x) thin films by using Ge virtual substrates as a template for Ge(1-x)Sn(x) epitaxy. The etch process is applied to selectively remove the stress-inducing Ge virtual substrate and achieve strain-free, direct band gap Ge0.92Sn0.08. The semiconductor processing technology presented in this work provides a robust method for fabrication of innovative Ge(1-x)Sn(x) nanostructures whose realization can prove to be challenging, if not impossible, otherwise.
View details for DOI 10.1021/nl4017286
- Fabrication of GeSn-On-Insulator (GSOI) to Enable Monolithic 3D Co-Integration of Logic and Photonics 2013
- Effects of Oxidant Dosing on GaSb (100) prior to ALD and Antimonide-based PMOSFETs with Ni-alloy S/D 2013
- Variability of III-V FinFETs and Hetero-Integration of III-V-OI using Rapid Melt Growth 2013
-
Characterization of Geometric Leakage Current of GeO2 Isolation and Effect of Forming Gas Annealing in Germanium p-n Junctions
IEEE ELECTRON DEVICE LETTERS
2012; 33 (11): 1520-1522
View details for DOI 10.1109/LED.2012.2211856
View details for Web of Science ID 000310387100003
-
Reduction in Specific Contact Resistivity to n(+) Ge Using TiO2 Interfacial Layer
IEEE ELECTRON DEVICE LETTERS
2012; 33 (11): 1541-1543
View details for DOI 10.1109/LED.2012.2214758
View details for Web of Science ID 000310387100010
-
Roadmap to an Efficient Germanium-on-Silicon Laser: Strain vs. n-Type Doping
IEEE PHOTONICS JOURNAL
2012; 4 (5): 2002-2009
View details for DOI 10.1109/JPHOT.2012.2221692
View details for Web of Science ID 000310147200004
-
Fluorine passivation of vacancy defects in bulk germanium for Ge metal-oxide-semiconductor field-effect transistor application
APPLIED PHYSICS LETTERS
2012; 101 (7)
View details for DOI 10.1063/1.4746389
View details for Web of Science ID 000308263100032
-
Characteristics of metal-induced crystallization/dopant activation and its application to junction diodes on single-crystalline silicon
JOURNAL OF PHYSICS D-APPLIED PHYSICS
2012; 45 (24)
View details for DOI 10.1088/0022-3727/45/24/245104
View details for Web of Science ID 000305414100006
-
The Effect of Fixed Charge in Tunnel-Barrier Contacts for Fermi-Level Depinning in Germanium
IEEE ELECTRON DEVICE LETTERS
2012; 33 (6): 761-763
View details for DOI 10.1109/LED.2012.2191386
View details for Web of Science ID 000305835000007
-
Enhancing hole mobility in III-V semiconductors
AMER INST PHYSICS. 2012
View details for DOI 10.1063/1.4718381
View details for Web of Science ID 000305363700077
-
Amelioration of interface state response using band engineering in III-V quantum well metal-oxide-semiconductor field-effect transistors
APPLIED PHYSICS LETTERS
2012; 100 (14)
View details for DOI 10.1063/1.3699226
View details for Web of Science ID 000302567800071
-
Selective-Area High-Quality Germanium Growth for Monolithic Integrated Optoelectronics
IEEE ELECTRON DEVICE LETTERS
2012; 33 (4): 579-581
View details for DOI 10.1109/LED.2011.2181814
View details for Web of Science ID 000302232900038
-
Electroluminescence from strained germanium membranes and implications for an efficient Si-compatible laser
APPLIED PHYSICS LETTERS
2012; 100 (13)
View details for DOI 10.1063/1.3699224
View details for Web of Science ID 000302230800012
-
III-Sb MOSFETs: Opportunities and Challenges
4th International Symposium on Graphene, Ge/III-V and Emerging Materials For Post-CMOS Applications held at the 221st Meeting of the Electrochemical-Society (ECS) as Symposium E2
ELECTROCHEMICAL SOC INC. 2012: 91–96
View details for DOI 10.1149/1.3700457
View details for Web of Science ID 000316890000011
-
Towards High Mobility GeSn Channel nMOSFETs: Improved Surface Passivation Using Novel Ozone Oxidation Method
IEEE International Electron Devices Meeting (IEDM)
IEEE. 2012
View details for Web of Science ID 000320615600095
-
Germanium on Insulator (GOI) Structure Using Hetero-Epitaxial Lateral Overgrowth on Silicon
4th International Symposium on Graphene, Ge/III-V and Emerging Materials For Post-CMOS Applications held at the 221st Meeting of the Electrochemical-Society (ECS) as Symposium E2
ELECTROCHEMICAL SOC INC. 2012: 203–8
View details for DOI 10.1149/1.3700469
View details for Web of Science ID 000316890000023
-
GeSn Channel n and p MOSFETs
5th SiGe, Ge, and Related Compounds - Materials, Processing and Devices Symposium held at the 220th Meeting of the Electrochemical-Society (ECS)
ELECTROCHEMICAL SOC INC. 2012: 937–41
View details for DOI 10.1149/05009.0937ecst
View details for Web of Science ID 000338015300111
- InGaSb: single channel solution for realizing III-V CMOS 2012
- Ge Based MOSFETs and Optical devices for Interconnects Integrated on Si 2012
- III-Sb MOSFETS : Opportunities and Challenges ECS Transactions 2012; 45 (4): 91-96
- Beyond interface: the impact of oxide border traps on InGaAs and Ge n-MOSFETs Tech Abstracts IEEE IEDM 2012: 28.3.1 - 28.3.4
- High Quality Germanium Gate Stack by Sulfur Passivation and Novel Ozone Oxidation IEEE SISC 2012
- Ge on Insulator (GOI) Structure Using Ge Lateral Overgrowth 221st ECS Meeting 2012
- Towards High Mobility GeSn Channel nMOSFETs: Improved Surface Passivation using Novel Ozone Oxidation Method Tech Abstracts IEEE IEDM 2012: 16.2.1-16.2.4
- Demonstration of Electroluminescence from Strained Ge Membrane LED Int. Si-Ge Tech. & Dev. Meet. (ISTDM) 2012: 98-99
- Metal-Insulator-Semiconductor Contacts on Ge: Physics and Applications Int. Si-Ge Tech. and Dev. Meet. (ISTDM) 2012: 90-91
- Enhancement of Phosphorus Dopant Activation and Diffusion of Suppression by Fluorine Co-implant in Epitaxially grown in Germanium Int. Si-Ge Tech. & Dev. Meet. (ISTDM) 2012: 16-17
- III-Sb MOSFETS : Opportunities and Challenges 221st ECS Meeting 2012
- GeSn Channel n and p MOSFETs Presented at 222th Meeting of The Electrochem. Soc. 2012
- Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET J. of Appl. Phys 2012; 111 (10): 103706
- Schottky Barrier Height Engineering for Low Resistance Contacts to Ge and III-V Devices Presented at 222th Meeting of The Electrochem. Soc. 2012
- Low-contact-resistivity Nickel Germanide Contacts on n+Ge with Phosphorus/Antimony Co-doping and Schottky Barrier Height Lowering Int. Si-Ge Tech. and Dev. Meet. (ISTDM) 2012: 88-89
- GeSn Channel nMOSFETs: Material Potential and Technological Outlook 2012
-
Highly-Strained Germanium as a Gain Medium for Silicon-Compatible Lasers
Conference on Lasers and Electro-Optics (CLEO)
IEEE. 2012
View details for Web of Science ID 000310362401035
-
Performance Improvement of One-Transistor DRAM by Band Engineering
IEEE ELECTRON DEVICE LETTERS
2012; 33 (1): 29-31
View details for DOI 10.1109/LED.2011.2171912
View details for Web of Science ID 000298380300009
-
Impact of fixed charge on metal-insulator-semiconductor barrier height reduction
APPLIED PHYSICS LETTERS
2011; 99 (25)
View details for DOI 10.1063/1.3669414
View details for Web of Science ID 000299031600034
-
Strained germanium thin film membrane on silicon substrate for optoelectronics
OPTICS EXPRESS
2011; 19 (27): 25866-25872
Abstract
This work presents a novel method to introduce a sustainable biaxial tensile strain larger than 1% in a thin Ge membrane using a stressor layer integrated on a Si substrate. Raman spectroscopy confirms 1.13% strain and photoluminescence shows a direct band gap reduction of 100meV with enhanced light emission efficiency. Simulation results predict that a combination of 1.1% strain and heavy n(+) doping reduces the required injected carrier density for population inversion by over a factor of 60. We also present the first highly strained Ge photodetector, showing an excellent responsivity well beyond 1.6um.
View details for PubMedID 22274174
-
Effect of interfacial oxide on Ge MOSCAP and N-MOSFET characteristics
MICROELECTRONIC ENGINEERING
2011; 88 (12): 3428-3431
View details for DOI 10.1016/j.mee.2010.04.011
View details for Web of Science ID 000299062200012
-
Electric Field Effects in Semiconductor Spin Transport-A Transfer Matrix Formalism
Conference on International Magnetics (INTERMAG)
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 2011: 2746–49
View details for DOI 10.1109/TMAG.2011.2151843
View details for Web of Science ID 000296418200107
-
Optimization of the Al2O3/GaSb Interface and a High-Mobility GaSb pMOSFET
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (10): 3407-3415
View details for DOI 10.1109/TED.2011.2162732
View details for Web of Science ID 000295100300024
-
Complex Band Structures: From Parabolic to Elliptic Approximation
IEEE ELECTRON DEVICE LETTERS
2011; 32 (9): 1296-1298
View details for DOI 10.1109/LED.2011.2160143
View details for Web of Science ID 000294171600044
-
Metal/III-V effective barrier height tuning using atomic layer deposition of high-kappa/high-kappa bilayer interfaces
APPLIED PHYSICS LETTERS
2011; 99 (9)
View details for DOI 10.1063/1.3633118
View details for Web of Science ID 000294489300032
-
Thermionic Field Emission Explanation for Nonlinear Richardson Plots
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (8): 2423-2429
View details for DOI 10.1109/TED.2011.2156411
View details for Web of Science ID 000293708500028
-
Study of Shubnikov-de Haas oscillations and measurement of hole effective mass in compressively strained InXGa1-XSb quantum wells
SOLID-STATE ELECTRONICS
2011; 62 (1): 138-141
View details for DOI 10.1016/j.sse.2011.04.005
View details for Web of Science ID 000292444000024
-
Optimization of Germanium (Ge) n(+)/p and p(+)/n Junction Diodes and Sub 380 degrees C Ge CMOS Technology for Monolithic Three-Dimensional Integration
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (8): 2394-2400
View details for DOI 10.1109/TED.2011.2148199
View details for Web of Science ID 000293708500024
-
High n-Type Antimony Dopant Activation in Germanium Using Laser Annealing for n(+)/p Junction Diode
IEEE ELECTRON DEVICE LETTERS
2011; 32 (7): 838-840
View details for DOI 10.1109/LED.2011.2142410
View details for Web of Science ID 000292165200002
-
InxGa1-xSb channel p-metal-oxide-semiconductor field effect transistors: Effect of strain and heterostructure design
JOURNAL OF APPLIED PHYSICS
2011; 110 (1)
View details for DOI 10.1063/1.3600220
View details for Web of Science ID 000292776500124
-
Device quality Sb-based compound semiconductor surface: A comparative study of chemical cleaning
JOURNAL OF APPLIED PHYSICS
2011; 109 (11)
View details for DOI 10.1063/1.3590167
View details for Web of Science ID 000292214700167
-
Cavity-enhanced direct band electroluminescence near 1550 nm from germanium microdisk resonator diode on silicon
APPLIED PHYSICS LETTERS
2011; 98 (21)
View details for DOI 10.1063/1.3592837
View details for Web of Science ID 000291041600001
-
Electrical Characteristics of Germanium n(+)/p Junctions Obtained Using Rapid Thermal Annealing of Coimplanted P and Sb
IEEE ELECTRON DEVICE LETTERS
2011; 32 (5): 608-610
View details for DOI 10.1109/LED.2011.2119460
View details for Web of Science ID 000289908500010
-
Schottky barrier height reduction for metal/n-GaSb contact by inserting TiO2 interfacial layer with low tunneling resistance
APPLIED PHYSICS LETTERS
2011; 98 (17)
View details for DOI 10.1063/1.3584862
View details for Web of Science ID 000290046100032
-
The Effect of Donor/Acceptor Nature of Interface Traps on Ge MOSFET Characteristics
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (4): 1015-1022
View details for DOI 10.1109/TED.2011.2120613
View details for Web of Science ID 000288676200013
-
Novel Germanium n-MOSFETs With Raised Source/Drain on Selectively Grown Ge on Si for Monolithic Integration
IEEE ELECTRON DEVICE LETTERS
2011; 32 (4): 446-448
View details for DOI 10.1109/LED.2011.2106756
View details for Web of Science ID 000288664800006
-
N-Channel Germanium MOSFET Fabricated Below 360 degrees C by Cobalt-Induced Dopant Activation for Monolithic Three-Dimensional-ICs
IEEE ELECTRON DEVICE LETTERS
2011; 32 (3): 234-236
View details for DOI 10.1109/LED.2010.2095827
View details for Web of Science ID 000287658400004
-
Increase in current density for metal contacts to n-germanium by inserting TiO2 interfacial layer to reduce Schottky barrier height
APPLIED PHYSICS LETTERS
2011; 98 (9)
View details for DOI 10.1063/1.3562305
View details for Web of Science ID 000288026700041
-
Experimental demonstration of In0.53Ga0.47As field effect transistors with scalable nonalloyed source/drain contacts
APPLIED PHYSICS LETTERS
2011; 98 (6)
View details for DOI 10.1063/1.3553192
View details for Web of Science ID 000287242100034
-
On the High-Field Transport and Uniaxial Stress Effect in Ge PFETs
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (2): 384-391
View details for DOI 10.1109/TED.2010.2093530
View details for Web of Science ID 000286515400014
-
Novel contact structures for high mobility channel materials
MRS BULLETIN
2011; 36 (2): 112-119
View details for DOI 10.1557/mrs.2011.5
View details for Web of Science ID 000293232300012
-
Inelastic electron tunneling study of crystallization effects and defect energies in hafnium oxide gate dielectrics
APPLIED PHYSICS LETTERS
2011; 98 (3)
View details for DOI 10.1063/1.3527977
View details for Web of Science ID 000286471100026
-
High-Mobility Ge N-MOSFETs and Mobility Degradation Mechanisms
IEEE TRANSACTIONS ON ELECTRON DEVICES
2011; 58 (1): 59-66
View details for DOI 10.1109/TED.2010.2088124
View details for Web of Science ID 000285840100009
- Fermi-level pinning at metal/GaSb interface and demonstration of InxGa1-xSb channel Schottky pMOSFETs with metal S/D 2011
- Analytical Approximation of Complex Band Structures for Band-to-Band Tunneling Models IEEE SISPAD 2011
- Tight-binding Study of Bandstructure Engineering for Ballistic III-V nMOSFETs IEEE SISPAD 2011
- Performance Limitation of Cu/low-k Interconnects and Possible Future Alternatives: CNT, 3-D and Optics 2011
- Junctions Obtained Using Rapid Thermal Annealing of Co-implantated P and Sb IEEE Electron Dev. Lett. 2011; 32 (5): 608-610
- Germanium/Silicon based Novel Electronic and Optoelectronic Devices for Nanoelectronics 2011
- Study of performances of low-k Cu, CNTs, and Optical interconnects in Nanoelectronic Circuit Design edited by Jha, N., Chen, D. Springer. 2011: 377–408
- InGaSb channel p-metal-oxide-semiconductor field effect transistors: Effect of strain and heterostructure design J Appl. Phys. 2011; 110 (1): 014503
- Atomic Layer Deposition of Al2O3 on GeSn and Impact of Wet Chemical Surface Pre-Treatment IEEE SISC 2011
- Optimization of Al2O3/GaSb interface and a high mobility GaSb p-MOSFET IEEE Trans. Electron Dev. 2011; 58 (10): 3407-3415
- High Mobility Ge N-MOSFETs and Mobility Degradation Mechanisms IEEE Trans. Electron Dev. 2011; 58 (1): 59-66
- Increase in current density and effective Schottky barrier height reduction for metal contact to n-type GaSb using interfacial layer of TiO2 Appl. Phys. Lett. 2011; 98: 172106
- Heterostructure design and demonstration of InGaSb channel III-V CMOS transistors 2011
-
A Novel Optoelectronic Device Complimentary to Photodetector
Conference on Optical Fiber Communication (OFC)/National Fiber Optic Engineers Conference(NFOEC)
OPTICAL SOC AMERICA. 2011
View details for Web of Science ID 000295517900018
-
Strained Germanium Membrane using Thin Film Stressor for High Efficiency Laser
Conference on Lasers and Electro-Optics (CLEO)
IEEE. 2011
View details for Web of Science ID 000295612403095
-
GeSn Technology: Extending the Ge Electronics Roadmap
IEEE International Electron Devices Meeting (IEDM)
IEEE. 2011
View details for Web of Science ID 000300015300100
-
Simulation of spin MOSFETs
Conference on Spintronics IV
SPIE-INT SOC OPTICAL ENGINEERING. 2011
View details for DOI 10.1117/12.893171
View details for Web of Science ID 000295779800026
-
Specific Contact Resistivity of Tunnel Barrier Contacts Used for Fermi Level Depinning
IEEE ELECTRON DEVICE LETTERS
2010; 31 (10): 1077-1079
View details for DOI 10.1109/LED.2010.2058838
View details for Web of Science ID 000283353900003
-
High quality single-crystal germanium-on-insulator on bulk Si substrates based on multistep lateral over-growth with hydrogen annealing
APPLIED PHYSICS LETTERS
2010; 97 (6)
View details for DOI 10.1063/1.3478242
View details for Web of Science ID 000280940900089
-
Study of piezoresistance under unixial stress for technologically relevant III-V semiconductors using wafer bending experiments
APPLIED PHYSICS LETTERS
2010; 96 (24)
View details for DOI 10.1063/1.3436561
View details for Web of Science ID 000278911500028
-
Uniaxial Stress Engineering for High-Performance Ge NMOSFETs
IEEE TRANSACTIONS ON ELECTRON DEVICES
2010; 57 (5): 1037-1046
View details for DOI 10.1109/TED.2010.2042767
View details for Web of Science ID 000278066500011
-
Novel Capacitorless Single-Transistor Charge-Trap DRAM (1T CT DRAM) Utilizing Electrons
IEEE ELECTRON DEVICE LETTERS
2010; 31 (5): 405-407
View details for DOI 10.1109/LED.2010.2043634
View details for Web of Science ID 000277047300008
-
Conductivity mismatch and voltage dependence of magnetoresistance in a semiconductor spin injection device
JOURNAL OF APPLIED PHYSICS
2010; 107 (6)
View details for DOI 10.1063/1.3319570
View details for Web of Science ID 000276210800106
-
Metal/III-V Schottky barrier height tuning for the design of nonalloyed III-V field-effect transistor source/drain contacts
JOURNAL OF APPLIED PHYSICS
2010; 107 (6)
View details for DOI 10.1063/1.3327434
View details for Web of Science ID 000276210800057
-
Investigation of Capacitorless Double-Gate Single-Transistor DRAM: With and Without Quantum Well
IEEE TRANSACTIONS ON ELECTRON DEVICES
2010; 57 (3): 608-613
View details for DOI 10.1109/TED.2009.2038651
View details for Web of Science ID 000274993100009
-
The influence of Fermi level pinning/depinning on the Schottky barrier height and contact resistance in Ge/CoFeB and Ge/MgO/CoFeB structures
APPLIED PHYSICS LETTERS
2010; 96 (5)
View details for DOI 10.1063/1.3285163
View details for Web of Science ID 000274319500073
-
Border traps in Al2O3/In0.53Ga0.47As (100) gate stacks and their passivation by hydrogen anneals
APPLIED PHYSICS LETTERS
2010; 96 (1)
View details for DOI 10.1063/1.3281027
View details for Web of Science ID 000273473200037
-
Novel SiGe Source/Drain for Reduced Parasitic Resistance in Ge NMOS
4th SiGe, Ge, and Related Compounds - Materials, Processing and Devices Symposium held at the 218th Meeting of the Electrochemical-Society (ECS)
ELECTROCHEMICAL SOC INC. 2010: 871–76
View details for DOI 10.1149/1.3487617
View details for Web of Science ID 000314957600088
-
Development of high-k dielectric for Antimonides and a sub 350 degrees C III-V pMOSFET outperforming Germanium
International Electron Devices Meeting (IEDM)
IEEE. 2010
View details for Web of Science ID 000287997300033
-
Characterizations of direct band gap photoluminescence and electroluminescence from epi-Ge on Si
4th SiGe, Ge, and Related Compounds - Materials, Processing and Devices Symposium held at the 218th Meeting of the Electrochemical-Society (ECS)
ELECTROCHEMICAL SOC INC. 2010: 545–54
View details for DOI 10.1149/1.3487585
View details for Web of Science ID 000314957600056
-
High Performance Germanium N-MOSFET with Antimony Dopant Activation Beyond 1x10(20) cm(-3)
International Electron Devices Meeting (IEDM)
IEEE. 2010
View details for Web of Science ID 000287997300060
- Development of High-k Dielectric for Antimonides and a Sub 350ºC III-V pMOSFET Outperforming Germanium IEEE Int. Electron Dev. Meet. (IEDM) Technical Digest 2010: 6.4.1-6.4.4
- 3-D ICs: Motivation, Performance Analysis, Technology and Applications 2010
-
Experimental Demonstration of High Source Velocity and Its Enhancement by Uniaxial Stress in Ge PFETs
Symposium on VLSI Technology (VLSIT)
IEEE. 2010: 215–216
View details for Web of Science ID 000287495500082
- Properties and Trade-offs of Compound Semiconductor MOSFETs in Fundamentals of III-V Semiconductor MOSFETs edited by Oktyabrsky, S., Ye, P., D. Springer. 2010: 7–30
- Characterizations of direct band gap PL and EL from epi-Ge on Si ECS Transactions 2010; 33 (6): 545-554
- Performance Limitations of Cu/low-K Interconnects and Possible Future Alternatives IEEE IITC short course 2010
- Germanium Integration on Silicon for High Performance MOSFETs and Optical Interconnects MRS spring meeting 2010
- High Performance Germanium N-MOSFET with Antimony Dopant Activation Beyond 1x1020 cm-3 EEE Int. Electron Dev. Meet. (IEDM) Technical Digest 2010: 10.5.1-10.5.4
- A sub 350ºC Self Aligned GaSb pMOSFET with ALD high-k dielectric 2010
-
Leakage Current Analysis of Lateral p+/n Ge Based Diode Activated at Low Temperature for Three-Dimensional Integrated Circuit (3D-ICs)
Symposium on Processing, Materials, and Integration of Damascene and 3D Interconnects held during the 218th Meeting of the Electrochemical-Society
ELECTROCHEMICAL SOC INC. 2010: 35–39
View details for DOI 10.1149/1.3501032
View details for Web of Science ID 000315439100003
-
Low Temperature Germanium Growth on Silicon Oxide Using Boron Seed Layer and In Situ Dopant Activation
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2010; 157 (3): H371-H376
View details for DOI 10.1149/1.3295703
View details for Web of Science ID 000274321900093
-
Novel Electronic and Optoelectronic Devices in Germanium Integrated on Silicon
4th SiGe, Ge, and Related Compounds - Materials, Processing and Devices Symposium held at the 218th Meeting of the Electrochemical-Society (ECS)
ELECTROCHEMICAL SOC INC. 2010: 101–8
View details for DOI 10.1149/1.3487538
View details for Web of Science ID 000314957600009
-
Optimal Design of III-V Heterostructure MOSFETs
15th International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 2010)
IEEE. 2010: 103–106
View details for Web of Science ID 000283778800046
-
Effect of isochronal hydrogen annealing on surface roughness and threading dislocation density of epitaxial Ge films grown on Si
6th International Conference on Silicon Epitaxy and Heterostructures (ICSI-6)
ELSEVIER SCIENCE SA. 2010: S136–S139
View details for DOI 10.1016/j.tsf.2009.10.072
View details for Web of Science ID 000274812400030
-
Characteristics of surface states and charge neutrality level in Ge
APPLIED PHYSICS LETTERS
2009; 95 (25)
View details for DOI 10.1063/1.3270529
View details for Web of Science ID 000273037700021
-
Atomically abrupt and unpinned Al2O3/In0.53Ga0.47As interfaces: Experiment and simulation
JOURNAL OF APPLIED PHYSICS
2009; 106 (12)
View details for DOI 10.1063/1.3266006
View details for Web of Science ID 000273216500098
-
Radical oxidation of germanium for interface gate dielectric GeO2 formation in metal-insulator-semiconductor gate stack
JOURNAL OF APPLIED PHYSICS
2009; 106 (10)
View details for DOI 10.1063/1.3259407
View details for Web of Science ID 000272932300103
-
High-Efficiency p-i-n Photodetectors on Selective-Area-Grown Ge for Monolithic Integration
IEEE ELECTRON DEVICE LETTERS
2009; 30 (11): 1161-1163
View details for DOI 10.1109/LED.2009.2030905
View details for Web of Science ID 000271151500015
-
Effect of uniaxial-strain on Ge p-i-n photodiodes integrated on Si
APPLIED PHYSICS LETTERS
2009; 95 (16)
View details for DOI 10.1063/1.3254181
View details for Web of Science ID 000271218200006
-
Metal-induced dopant (boron and phosphorus) activation process in amorphous germanium for monolithic three-dimensional integration
JOURNAL OF APPLIED PHYSICS
2009; 106 (7)
View details for DOI 10.1063/1.3238297
View details for Web of Science ID 000270915600118
-
Compact Performance Models and Comparisons for Gigascale On-Chip Global Interconnect Technologies
IEEE TRANSACTIONS ON ELECTRON DEVICES
2009; 56 (9): 1787-1798
View details for DOI 10.1109/TED.2009.2026196
View details for Web of Science ID 000269154500002
-
Germanium In Situ Doped Epitaxial Growth on Si for High-Performance n(+)/p-Junction Diode
IEEE ELECTRON DEVICE LETTERS
2009; 30 (9): 1002-1004
View details for DOI 10.1109/LED.2009.2027823
View details for Web of Science ID 000269443000037
-
Interface studies of ALD-grown metal oxide insulators on Ge and III-V semiconductors
16th Biennial Conference on Insulating Films on Semiconductors
ELSEVIER SCIENCE BV. 2009: 1536–39
View details for DOI 10.1016/j.mee.2009.03.081
View details for Web of Science ID 000267460100004
-
Room temperature 1.6 microm electroluminescence from Ge light emitting diode on Si substrate.
Optics express
2009; 17 (12): 10019-10024
Abstract
We report the room temperature electroluminescence (EL) at 1.6 microm of a Ge n+/p light emitting diode on a Si substrate. Unlike normal electrically pumped devices, this device shows a super linear luminescence enhancement at high current. By comparing different n type doping concentrations, we observe that a higher concentration is required to achieve better efficiency of the device. Thermal enhancement effects observed in temperature dependent EL spectra show the capability of this device to operate at room temperature or above. These detailed studies show that Ge can be a good candidate for a Si compatible light emitting device.
View details for PubMedID 19506652
-
p-Channel Ge MOSFET by Selectively Heteroepitaxially Grown Ge on Si
IEEE ELECTRON DEVICE LETTERS
2009; 30 (6): 675-677
View details for DOI 10.1109/LED.2009.2019847
View details for Web of Science ID 000266409200030
-
Ge (100) and (111) N- and P-FETs With High Mobility and Low-T Mobility Characterization
IEEE TRANSACTIONS ON ELECTRON DEVICES
2009; 56 (4): 648-655
View details for DOI 10.1109/TED.2009.2014198
View details for Web of Science ID 000265090100015
-
Fermi level depinning in metal/Ge Schottky junction for metal source/drain Ge metal-oxide-semiconductor field-effect-transistor application
JOURNAL OF APPLIED PHYSICS
2009; 105 (2)
View details for DOI 10.1063/1.3065990
View details for Web of Science ID 000262970900048
-
Characteristics of the Capacitorless Double Gate Quantum Well Single Transistor DRAM
International Conference on Simulation of Semiconductor Processes and Devices
IEEE. 2009: 35–38
View details for Web of Science ID 000277103100008
-
High Efficiency Monolithic Photodetectors for Integrated Optoelectronics in the Near Infrared
22nd Annual Meeting of the IEEE-Photonics-Society
IEEE. 2009: 303–304
View details for Web of Science ID 000279577600156
-
Band Engineered Tunnel Oxides for Improved TANOS-type Flash Program/Erase with Good Retention and 100K Cycle Endurance
International Symposium on VLSI Technology, Systems and Applications
IEEE. 2009: 156–157
View details for Web of Science ID 000272451000070
-
A Novel Fluorine Incorporated Band Engineered (BE) Tunnel (SiO2/HfSiO/SiO2) TANOS with excellent Program/Erase & Endurance to 10(5) Cycles
IEEE International Memory Workshop
IEEE. 2009: 86–87
View details for Web of Science ID 000272107600035
-
Engineering of Strained III-V Heterostructures for High Hole Mobility
IEEE International Electron Devices Meeting (IEDM 2009)
IEEE. 2009: 801–804
View details for Web of Science ID 000279343900206
- Effect of Interfacial Oxide on Ge MOSCAP Characteristics 2009
- Metal-Induced Dopants Activation (MIDA) on Amorphous Germanium for Monolithic 3D-ICs 2009
- Effect of Isochronal Hydrogen Annealing on Surface Roughness and Threading Dislocation Density of Epitaxial Ge on Si 2009
- Physical & Electrical Characterization of Fluorine Passivation for Improving Band-Engineered -SiO2/HfSiO/SiO2 (OHO) TANOS Flash Memory SISC 2009. 2009
- Engineering of Strained III-V Heterostructures for High Hole Mobility Int. Electron Dev. Meet. (IEDM) Technical Digest 2009: 857-860
- 3-D ICs: Motivation, Performance Analysis and Technology 19th Lithography Workshop 2009
- High Performance Nanoscale FETs and Optoelectronic Devices for Interconnects in Germanium Integrated on Silicon 1st Int. Workshop on Si based nanoelectronics and photonics (SiNEP-09) 2009
- Interface StudiesofMetalOxideInsulatorsonGeand III-V Semiconductors 2009
- Ge MOSFET and Single T DRAM 2009
- Engineering the Complete MANOS-type NVM Stack for Best in Class Retention Performance IEEE Int. Electron Dev. Meet. (IEDM) Technical Digest 2009: 439-442
- Investigation of Strained-Sb Hetrostructures with High Hole Mobility SSDM 2009
- Band Engineered Tunnel Oxides for Improved TANOS-type Flash Program/Erase with Good Retention and 100K Cycle Endurance IEEE VLSI Tech. Systems & Appls. (VLSI-TSA) 2009
- Correlation between Inelastic Electron Tunneling Spectroscopy and electrical measurements of ultra-thin high density Plasma gate oxides for MOS devices MRS Abstract 1 IETS April 2009 2009
- Understanding Endurance Degradation in Flash Memory through Transconductance Measurement 2009
- Mobility Enhancement by Uniaxial Stress in (100) Ge NMOSFET with Interfacial Gate Dielectric GeO2 Grown by SPA Radical Oxidation 2009
- High Mobility Ge NMOS and Its Challenges Int. Electron Dev. Meet. (IEDM) Technical Digest 2009: 453-456
- A Novel Fluorine Incorporated Band Engineered (BE) Tunnel (SiO2/ HfSiO/ SiO2) TANOS with excellent Program/Erase and Endurance to 105 cycles IMW`09 Monterey 2009
- Fully Low Temperature (350oC) Processed Si PMOSFET with Poly-Ge Gate, Radical Oxidation of Gate-Oxide and Schottky Source/Drain for Monolithic 3D-ICs 2009
- Effect of Isochronal Hydrogen Annealing on Surface Roughness and Threading Dislocation Density of Epitaxial Ge on Si 2009
-
Investigation of Ballistic Current in Scaled Floating-gate NAND FLASH and a Solution
IEEE International Electron Devices Meeting (IEDM 2009)
IEEE. 2009: 765–768
View details for Web of Science ID 000279343900197
-
Experimental Demonstration of High Mobility Ge NMOS
IEEE International Electron Devices Meeting (IEDM 2009)
IEEE. 2009: 420–423
View details for Web of Science ID 000279343900110
-
Conductivity Mismatch and Voltage Dependence of Magnetoresistance in a Semiconductor Spin Injection and Detection Structure
International Conference on Simulation of Semiconductor Processes and Devices
IEEE. 2009: 17–20
View details for Web of Science ID 000277103100003
-
Hole Mobility and its enhancement with Strain for technologically relevant III-V semiconductors
International Conference on Simulation of Semiconductor Processes and Devices
IEEE. 2009: 47–50
View details for Web of Science ID 000277103100011
-
Effect of uniaxial-strain on Ge p-i-n photodiodes integrated on Si
22nd Annual Meeting of the IEEE-Photonics-Society
IEEE. 2009: 369–370
View details for Web of Science ID 000279577600191
-
Performance Comparison of Cu/Low-K, Carbon Nanotube, and Optics for On-chip and Off-chip Interconnects
11th International Workshop on System-Level Interconnect Prediction (SLIP 09)
ASSOC COMPUTING MACHINERY. 2009: 111–112
View details for Web of Science ID 000282306200018
-
Low-Temperature, Low-Pressure Chemical Vapor Deposition and Solid Phase Crystallization of Silicon-Germanium Films
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2009; 156 (1): D23-D27
View details for DOI 10.1149/1.3008009
View details for Web of Science ID 000261209800051
-
High Quality GeO2/Ge Interface Formed by SPA Radical Oxidation and Uniaxial Stress Engineering for High Performance Ge NMOSFETs
Symposium on VLSI Technology
JAPAN SOCIETY APPLIED PHYSICS. 2009: 76–77
View details for Web of Science ID 000275651200027
-
Fermi Level Depinning For the Design of III-V FET Source/Drain Contacts
International Symposium on VLSI Technology, Systems and Applications
IEEE. 2009: 123–124
View details for Web of Science ID 000272451000055
-
High Performance n-MOSFETs with Novel Source/Drain on Selectively Grown Ge on Si for Monolithic Integration
IEEE International Electron Devices Meeting (IEDM 2009)
IEEE. 2009: 641–644
View details for Web of Science ID 000279343900166
-
Performance Comparison between Capacitively Driven Low Swing and Conventional Interconnects for Cu and Carbon Nanotube Wire Technologies
IEEE International Interconnect Technology Conference
IEEE. 2009: 23–25
View details for Web of Science ID 000272206600007
-
A Highly Scalable Capacitorless Double Gate Quantum Well Single Transistor DRAM: 1T-QW DRAM
IEEE ELECTRON DEVICE LETTERS
2008; 29 (12): 1405-1407
View details for DOI 10.1109/LED.2008.2007508
View details for Web of Science ID 000262062000036
-
High performance germanium N+/P and P+/N junction diodes formed at low Temperature (<= 380 degrees C) using metal-induced dopant activation
APPLIED PHYSICS LETTERS
2008; 93 (19)
View details for DOI 10.1063/1.3025849
View details for Web of Science ID 000260944100121
-
Low temperature boron and phosphorus activation in amorphous germanium using Ni- and Co-induced crystallization and its application for three-dimensional integrated circuits
APPLIED PHYSICS LETTERS
2008; 93 (18)
View details for DOI 10.1063/1.3009201
View details for Web of Science ID 000260778100096
-
Self-nucleation free and dimension dependent metal-induced lateral crystallization of amorphous germanium for single crystalline germanium growth on insulating substrate
JOURNAL OF APPLIED PHYSICS
2008; 104 (6)
View details for DOI 10.1063/1.2978367
View details for Web of Science ID 000260119300144
-
Experimental characterization of single-walled carbon nanotube film-Si Schottky contacts using metal-semiconductor-metal structures
APPLIED PHYSICS LETTERS
2008; 92 (24)
View details for DOI 10.1063/1.2945644
View details for Web of Science ID 000256934900090
-
Metal-semiconductor-metal photodetectors based on single-walled carbon nanotube film-GaAs Schottky contacts
JOURNAL OF APPLIED PHYSICS
2008; 103 (11)
View details for DOI 10.1063/1.2938037
View details for Web of Science ID 000256706200101
-
A nanoscale vertical double-gate single-transistor capacitorless DRAM
IEEE ELECTRON DEVICE LETTERS
2008; 29 (6): 615-617
View details for DOI 10.1109/LED.2008.922969
View details for Web of Science ID 000256189000024
-
Ge-interface engineering with ozone oxidation for low interface-state density
IEEE ELECTRON DEVICE LETTERS
2008; 29 (4): 328-330
View details for DOI 10.1109/LED.2008.918272
View details for Web of Science ID 000254225800015
-
Nanometre-scale germanium photodetector enhanced by a near-infrared dipole antenna
NATURE PHOTONICS
2008; 2 (4): 226-229
View details for DOI 10.1038/nphoton.2008.30
View details for Web of Science ID 000255247300012
-
Operational voltage reduction of flash memory using high-kappa composite tunnel barriers
IEEE ELECTRON DEVICE LETTERS
2008; 29 (3): 252-254
View details for DOI 10.1109/LED.2007.915376
View details for Web of Science ID 000253441900015
-
On the correct extraction of interface trap density of MOS devices with high-mobility semiconductor substrates
IEEE TRANSACTIONS ON ELECTRON DEVICES
2008; 55 (2): 547-556
View details for DOI 10.1109/TED.2007.912365
View details for Web of Science ID 000252688300013
-
A low-power, highly scalable, vertical double-gate MOSFET using novel processes
IEEE TRANSACTIONS ON ELECTRON DEVICES
2008; 55 (2): 632-639
View details for DOI 10.1109/TED.2007.913003
View details for Web of Science ID 000252688300024
-
Atomic Layer Deposition of Hafnium Oxide on Ge and GaAs Substrates: Precursors and Surface Preparation
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2008; 155 (12): H937-H944
View details for DOI 10.1149/1.2979144
View details for Web of Science ID 000260479700068
-
Feasibility of SIO2/AL(2)O(3) tunnel dielectric for future flash memories generations
9th International Conference on Ultimate Integration on Silicon
IEEE. 2008: 111–114
View details for Web of Science ID 000256023400025
-
Metal-semiconductor-metal (MSM) photodetectors based on single-walled carbon nanotube film-silicon Schottky contacts
Conference on MEMS/MOEMS Components and Their Applications V
SPIE-INT SOC OPTICAL ENGINEERING. 2008
View details for DOI 10.1117/12.761935
View details for Web of Science ID 000255942300008
-
Statistical modeling of leakage currents through SiO2/high-kappa dielectrics stacks for non-volatile memory applications
2008 IEEE INTERNATIONAL RELIABILITY PHYSICS SYMPOSIUM PROCEEDINGS - 46TH ANNUAL
2008: 616-620
View details for Web of Science ID 000257615900102
-
Low temperature boron activation in amorphous germanium for three dimensional integrated circuits (3D-ICs) using Ni-induced crystallization
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 909–16
View details for DOI 10.1149/1.2986852
View details for Web of Science ID 000273336700101
-
High Mobility Ge and III-V Materials and Novel Device Structures for High Performance Nanoscale MOSFETS
38th European Solid-State Device Research Conference
IEEE. 2008: 38–46
View details for Web of Science ID 000262973300007
-
Germanium for High Performance MOSFETs and Optical Interconnects
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 3–12
View details for DOI 10.1149/1.2986748
View details for Web of Science ID 000273336700001
-
Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With Record High Drive Currents and < 60mV/dec Subthreshold slope
IEEE International Electron Devices Meeting
IEEE. 2008: 947–949
View details for Web of Science ID 000265829300221
-
Low Temperature (<= 380 degrees C) and High Performance Ge CMOS Technology with Novel Source/Drain by Metal-Induced Dopants Activation and High-K/Metal Gate Stack for Monolithic 3D Integration
IEEE International Electron Devices Meeting
IEEE. 2008: 389–392
View details for Web of Science ID 000265829300088
- Low temperature processes using Ni-induced Crystallization Technique for Monolithic Three Dimensional Integration 2008
- Fermi-Level Depinning of GaAs for Ohmic Contacts 2008
- Double-Gate Strained-Ge Heterostructure Tunneling FET (TFET) With Record High Drive Currents and <60mV/dec Subthreshold slope IEEE International Electron Devices Meeting (IEDM) 2008 Technical Digest 2008: 947-949
- Low Temperature Boron Activation in Amorphous Ge for Three Dimensional Integrated Circuits (3D-ICs) using Ni-induced Crystallization The Electroch. Soc. Trans. 2008; 10 (16 #): 909-916
- Mobilty Modeling in Ultra-Thin (UT) Strained Germanium (s-Ge) Quantum Well (QW) Heterostructure pMOSFETs The Electroch. Soc. Trans. 2008; 10 (16 #): 397-403
- Ge Interface Engineering with Ozone-oxidation for Low Interface State Density IEEE Electron Dev. Lett 2008; 29 (4): 328-330
- A Low Power, Highly Scalable, Vertical Double Gate MOSFET Using Novel Processes IEEE Trans. Electron Dev. 2008; 55 (2): 632-639
- Performance Comparisons Between Cu/Low-K Carbon-Nanotube, And Optics for Future On-Chip Interconnects IEEE Electron Dev. Lett. 2008; 29 (1): 122-124
- Passivation studies of germanium surfaces Solid State Phenomena 2008; 134: 33-6
- Low Temperature (<380∞C) and High Performance Ge CMOS Technology with Novel Source/Drain by Metal-Induced Dopants Activation and High-K/Metal Gate Stack for Monolithic 3D Integration IEEE International Electron Devices Meeting (IEDM) 2008 Technical Digest 2008: 389-392
- Germanium for High Performance MOSFETs and Optical Interconnects The Electrochemical Society Transactions 2008; 10 (16 #): 3-12
- High Mobility Ge and III-V Materials and Novel Device Structures for High Performance Nanoscale MOSFETS ESSDERC 2008
- Feasibility of SiO2/Al2O3 Tunnel Dielectric for Future Flash Memories Generations 2008
- Statistical Modeling of Leakage Currents through SiO2/High-K dielectric stacks for non-voltaile memory applications 2008
- Performance Evaluation of III-V Double-Gate n-MOSFETs 2008
- T3, Defect Study of Al/HfO2/Si Gate Stacks by Inelastic Electron Tunneling Spectroscopy 2008
-
Fermi-level depinning in metal/Ge Schottky junction and its application to metal source/drain GeNMOSFET
Symposium on VLSI Technology
IEEE. 2008: 43–44
View details for Web of Science ID 000259442500020
-
Mobilty Modeling Of Strained Germanium (s-Ge) Quantum Well (QW) Heterostructure pMOSFETs
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 397–403
View details for DOI 10.1149/1.2986797
View details for Web of Science ID 000273336700048
-
Theoretical Evaluation of Performance in Biaxially-Strained GaAs and In0.75Ga0.25As NMOS DGFETs
IEEE Silicon Nanoelectronics Workshop (SNW 2008)
IEEE. 2008: 103–104
View details for Web of Science ID 000279102800053
-
Ge Interface Passivation Techniques and Their Thermal Stability
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 1025–29
View details for DOI 10.1149/1.2986865
View details for Web of Science ID 000273336700114
-
Performance comparison between copper, carbon nanotube, and optical interconnects
IEEE International Symposium on Circuits and Systems
IEEE. 2008: 2781–2784
View details for Web of Science ID 000258532102156
-
Performance Evaluation of Uniaxial- and Biaxial-Strained In((x))Ga((1-x))AS NMOS DGFETs
International Conference on Simulation of Semiconductor Processes and Devices
IEEE. 2008: 101–104
View details for Web of Science ID 000260373200026
-
Performance Evaluation of 15nm Gate Length Double-Gate n-MOSFETs with High Mobility Channels: III-V, Ge and Si
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 47–55
View details for DOI 10.1149/1.2986752
View details for Web of Science ID 000273336700005
-
Fermi-level depinning in metal/Ge Schottky junction and its application to metal source/drainage NMOSFET
Symposium on VLSI Technology
IEEE. 2008: 54–55
View details for Web of Science ID 000259116200020
-
Defect Reduction of Ge on Si by Selective Epitaxy and Hydrogen Annealing
3rd International SiGe, Ge and Related Compounds Symposium
ELECTROCHEMICAL SOCIETY INC. 2008: 823–28
View details for DOI 10.1149/1.2986841
View details for Web of Science ID 000273336700091
-
Passivation studies of germanium surfaces
8th International Symposium on Ultra Clean Processing of Semiconductor Surfaces (UCPSS)
TRANS TECH PUBLICATIONS LTD. 2008: 33–36
View details for Web of Science ID 000253389300008
-
Chemical Bonding, Interfaces, and Defects in Hafnium Oxide/Germanium Oxynitride Gate Stacks on Ge(100)
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2008; 155 (12): G304-G309
View details for DOI 10.1149/1.2995832
View details for Web of Science ID 000260479700067
-
Performance comparisons between Cu/Low-kappa, carbon-nanotube, and optics for future on-chip interconnects
IEEE ELECTRON DEVICE LETTERS
2008; 29 (1): 122-124
View details for DOI 10.1109/LED.2007.911617
View details for Web of Science ID 000252098100037
-
Mobilty Modeling of Strained Germanium (s-Ge) Quantum Well (QW) heterostructure pMOSFETs
IEEE Silicon Nanoelectronics Workshop (SNW 2008)
IEEE. 2008: 15–16
View details for Web of Science ID 000279102800008
-
Comparison of (001), (110) and (111) Uniaxial- and Biaxial- Strained-Ge and Strained-Si PMOS DGFETs for All Channel orientations: Mobility Enhancement, Drive Current, Delay and Off-State Leakage
IEEE International Electron Devices Meeting
IEEE. 2008: 899–902
View details for Web of Science ID 000265829300209
-
Silicon germanium CMOS optoelectronic switching device: Bringing light to latch
IEEE TRANSACTIONS ON ELECTRON DEVICES
2007; 54 (12): 3252-3259
View details for DOI 10.1109/TED.2007.908903
View details for Web of Science ID 000251268300015
-
Performance comparisons between carbon nanotubes, optical, and Cu for future high-performance on-chip interconnect applications
IEEE TRANSACTIONS ON ELECTRON DEVICES
2007; 54 (12): 3206-3215
View details for DOI 10.1109/TED.2007.909045
View details for Web of Science ID 000251268300009
-
A very low temperature single crystal germanium growth process on insulating substrate using Ni-induced lateral crystallization for three-dimensional integrated circuits
APPLIED PHYSICS LETTERS
2007; 91 (14)
View details for DOI 10.1063/1.2793183
View details for Web of Science ID 000249974100097
-
High performance, uniaxially-strained, silicon and germanium, double-gate p-MOSFETs
15th Biennial Conference on Insulating Films on Semiconductors
ELSEVIER SCIENCE BV. 2007: 2063–66
View details for DOI 10.1016/j.mee.2007.04.085
View details for Web of Science ID 000247378600052
-
Ge-SiGe quantum-well waveguide photodetectors on silicon for the near-infrared
IEEE PHOTONICS TECHNOLOGY LETTERS
2007; 19 (17-20): 1631-1633
View details for DOI 10.1109/LPT.2007.904929
View details for Web of Science ID 000250212600114
-
SiGe optoelectronic metal-oxide semiconductor field-effect transistor
OPTICS LETTERS
2007; 32 (14): 2022-2024
Abstract
We propose a novel semiconductor optoelectronic switch that is a fusion of a Ge optical detector and a Si metal-oxide semiconductor field-effect transistor (MOSFET). The device operation is investigated with simulations and experiments. The switch can be fabricated at the nanoscale with extremely low capacitance. This device operates in telecommunication standard wavelengths, hence providing the surrounding Si circuitry with noise immunity from signaling. The Ge gate absorbs light, and the gate photocurrent is amplified at the drain terminal. Experimental current gain of up to 1000x is demonstrated. The device exhibits increased responsivity (approximately 3.5x) and lower off-state current (approximately 4x) compared with traditional detector schemes.
View details for PubMedID 17632630
-
Electro-thermally coupled power optimization for future transistors and its applications
IEEE TRANSACTIONS ON ELECTRON DEVICES
2007; 54 (7): 1696-1704
View details for DOI 10.1109/TED.2007.898242
View details for Web of Science ID 000247643800015
-
Modulator design methodology minimizing power dissipation in a quantum well modulator-based optical interconnect
JOURNAL OF LIGHTWAVE TECHNOLOGY
2007; 25 (6): 1621-1628
View details for DOI 10.1109/JLT.2007.895340
View details for Web of Science ID 000247221800034
-
High temperature phase transformation of tantalum nitride films deposited by plasma enhanced atomic layer deposition for gate electrode applications
APPLIED PHYSICS LETTERS
2007; 90 (10)
View details for DOI 10.1063/1.2643085
View details for Web of Science ID 000244791700042
-
The delay, energy, and bandwidth comparisons between copper, carbon nanotube, and optical interconnects for local and global wiring application
10th Annual International Interconnect Technology Conference (IITC)
IEEE. 2007: 135–137
View details for Web of Science ID 000248118600041
- Atomic Layer Deposition of HfO2 on III-V Semiconductors: Effects of Surface Treatment and Post-Deposition Anneals 2007
- Research Through Collaboration between Academia and Industry 2007
- Fluorine incorporation at HfO2 /SiO2 interfaces in high-k metal-oxide-semiconductor gate stacks: Local electronic structure Appl. Phys. Lett. 2007; 90: 112911
- Low Temperature, Metal(Ni)-Induced Lateral Crystallization(MILC) of amorphous(a)-Germanium(Ge) for 3-Dimensional Integrated Circuits(3D ICs) 2007
- Performance Comparisons Between Cu/Low-K Carbon-Nanotube, and Optics for Future On-Chip Interconnects IEEE Trans. Electron Dev. 2007; 54 (12): 3206-3215
- Near-Infrared Photodetector Enhanced by an Open-Sleeve Dipole Antenna IPNRA 2007 2007
- High Mobility Materials and Novel Device Structures for High Performance Nanoscale MOSFETs 2007
- Advances Germanium MOS Devices Germanium-Based Technologies: From Materials to Devices edited by Claeys, C., Simoen, E. Elsevier Science. 2007: 1
- Nanoscale Germanium MOS Dielectrics and Junctions Germanium-Based Technologies: From Materials to Devices edited by Claeys, C., Simoen, E. Elsevier Science. 2007: 1
- Retention Improvement in fluorinated-HfO2/SiO2 Tunnel Stack for Non-Volatile Flash Memory IEEE SISC 2007
- Waveguide Electroabsorption Modulator on Si Employing Ge/SiGe Quantum Wells OSA FiO 2007 2007
- Ge/SiGe Quantum Well Waveguide Photodetectors on Silicon for the Near-Infrared IEEE Photon. Technol. Lett. 2007; 19 (20): 1631-1633
- CMOS Compatible Silicon-Germanium Optoelectronic Switching Device: Bringing Light to Latch IEEE Trans. Electron Dev. 2007; 54 (12): 3252-3259
- Metal-Semiconductor-Metal (MSM) Photodetectors Based on Single-walled Carbon Nanotube Film-GaAs Schottky Contacts MRS, Fall Meeting 2007
- A Modulator Design Methodology Minimizing Power Dissipation in a Quantum Well Modulator-Based Optical Interconnect IEEE J. Lightwave Technology 2007; 25 (6): 1621 - 1628
- Feasibility Study of Composite Dielectric Tunnel Barriers for Flash Memory 2007
- Electrical and Physical Characterization of ALD-Grown HfO2 Gate Dielectrics on GaAs (100) Substates with Sulfur Passivation Spring MRS Meeting 2007
- Novel Si-based CMOS Optoelectronic Switching Device Operating in the Near Infrared 2007
- Performance Limitations of Cu/low-k Interconnects and Possible Alternatives 2007
- Electro-Thermally Coupled Power Optimization for Future Transistors 2007
- High Mobility, Low Parasitic Resistance Si/Ge/Si Heterostructure Channel Schottky Source/Drain PMOSFETs 2007
- Design Guidelines for High Mobility Channel Bulk n-MOSFETs 2007
-
High mobility channel materials for future CMOS
International Symposium on VLSI Technology, Systems and Applications
IEEE. 2007: 116–119
View details for Web of Science ID 000247059300052
-
Highly scalable vertical double gate NOR flash memory
IEEE International Electron Devices Meeting
IEEE. 2007: 917–920
View details for Web of Science ID 000259347800210
-
Interface-engineered Ge (100) and (111), N- and P-FETs with high mobility
IEEE International Electron Devices Meeting
IEEE. 2007: 723–726
View details for Web of Science ID 000259347800165
-
Optical link on silicon employing Ge/SiGe quantum well structures
20th Annual Meeting of the IEEE-Lasers-and-Electro-Optics-Society
IEEE. 2007: 852–853
View details for Web of Science ID 000259345200427
-
Novel Si-based Optoelectronic Switching Device: Light to Latch
Conference on Lasers and Electro-Optics/Quantum Electronics and Laser Science Conference
IEEE. 2007: 183–184
View details for Web of Science ID 000268751000092
-
Modeling of the Performance of Carbon Nanotube Bundle, Cu/Low-K and Optical On-chip Global Interconnects
International Workshop on System-Level Interconnect Prediction
ASSOC COMPUTING MACHINERY. 2007: 81–88
View details for Web of Science ID 000265963700012
-
High performance, strained-Ge, heterostructure pMOSFETs
12th International Conference on Simulation of Semiconductor Processes and Devices
SPRINGER-VERLAG WIEN. 2007: 21–24
View details for Web of Science ID 000252105600005
-
High performance germanium MOSFETs
Symposium on From Strained Silicon to Nanotubes - Novel Channels for Field Effects Devices held at the Spring Meeting of the E-MRS
ELSEVIER SCIENCE SA. 2006: 242–49
View details for DOI 10.1016/j.mseb.2006.08.014
View details for Web of Science ID 000242654400018
-
Chemical states and electrical properties of a high-k metal oxide/silicon interface with oxygen-gettering titanium-metal-overlayer
APPLIED PHYSICS LETTERS
2006; 89 (14)
View details for DOI 10.1063/1.2358834
View details for Web of Science ID 000241056900088
-
Improvement in high-k (HfO2/SiO2) reliability by incorporation of fluorine
IEEE ELECTRON DEVICE LETTERS
2006; 27 (10): 821-823
View details for DOI 10.1109/LED.2006.882564
View details for Web of Science ID 000240925900010
-
Effect of impurities on the fixed charge of nanoscale HfO2 films grown by atomic layer deposition
APPLIED PHYSICS LETTERS
2006; 89 (11)
View details for DOI 10.1063/1.2348735
View details for Web of Science ID 000240545400096
-
High-efficiency metal-semiconductor-metal photodetectors on heteroepitaxially grown Ge on Si
OPTICS LETTERS
2006; 31 (17): 2565-2567
Abstract
We demonstrate extremely efficient germanium-on-silicon metal-semiconductor-metal photodetectors with responsivities (R) as high as 0.85 A/W at 1.55 microm and 2V reverse bias. Ge was directly grown on Si by using a novel heteroepitaxial growth technique, which uses multisteps of growth and hydrogen annealing to reduce surface roughness and threading dislocations that form due to the 4.2% lattice mismatch. Photodiodes on such layers exhibit reverse dark currents of 100 mA/cm2 and external quantum efficiency up to 68%. This technology is promising to realize monolithically integrated optoelectronics.
View details for Web of Science ID 000240008700017
View details for PubMedID 16902620
-
A novel spacer process for sub-10-nm-thick vertical MOS and its integration with planar MOS device
IEEE TRANSACTIONS ON NANOTECHNOLOGY
2006; 5 (5): 554-563
View details for DOI 10.1109/TNANO.2006.880881
View details for Web of Science ID 000240674600020
-
Nanoscale germanium MOS dielectrics - Part II: High-kappa gate dielectrics
IEEE TRANSACTIONS ON ELECTRON DEVICES
2006; 53 (7): 1509-1516
View details for DOI 10.1109/TED.2006.875812
View details for Web of Science ID 000238621600002
-
Nanoscale germanium MOS dielectrics - Part I: Germanium oxynitrides
IEEE TRANSACTIONS ON ELECTRON DEVICES
2006; 53 (7): 1501-1508
View details for DOI 10.1109/TED.2006.875808
View details for Web of Science ID 000238621600001
-
C-shaped nanoaperture-enhanced germanium photodetector
OPTICS LETTERS
2006; 31 (10): 1519-1521
Abstract
We present a C-shaped nanoaperture-enhanced Ge photodetector that shows 2-5 times the photocurrent enhancement over that from a square aperture of the same area at 1310 nm wavelength. We demonstrate the polarization dependence of the C-aperture photodetector over a wide wavelength range. Our experimental observation agrees well with finite-difference time-domain simulation results.
View details for PubMedID 16642158
-
High-mobility ultrathin strained Ge MOSFETs on bulk and SOI with low band-to-band tunneling leakage: Experiments
IEEE TRANSACTIONS ON ELECTRON DEVICES
2006; 53 (5): 990-999
View details for DOI 10.1109/TED.2006.872362
View details for Web of Science ID 000237369800005
-
High-mobility low band-to-band-tunneling strained-germanium double-gate heterostructure FETs: Simulations
IEEE TRANSACTIONS ON ELECTRON DEVICES
2006; 53 (5): 1000-1009
View details for DOI 10.1109/TED.2006.872367
View details for Web of Science ID 000237369800006
-
Leakage suppression by asymmetric area electrodes in metal-semiconductor-metal photodetectors
APPLIED PHYSICS LETTERS
2006; 88 (6)
View details for DOI 10.1063/1.2171648
View details for Web of Science ID 000235252800109
-
Strain enhanced high efficiency germanium photodetectors in the near infrared for integration with Si
19th Annual Meeting of the IEEE-Lasers-and-Electro-Optics-Society
IEEE. 2006: 460–461
View details for Web of Science ID 000246167900232
-
Performance limitations of SiCMOS and alternatives for nanoelectronics
Workshop on Frontiers in Electronics (WOFE-04)
WORLD SCIENTIFIC PUBL CO PTE LTD. 2006: 175–192
View details for Web of Science ID 000241022300011
-
Structural evolution and point defects in metal oxide-based high-k gate dielectrics
NATO Advanced Research Workshop on Defects in Advanced High -K Dielectric Nano-Electronic Seminconductor Devices
SPRINGER. 2006: 109–121
View details for Web of Science ID 000236350700009
- A Novel Spacer Process for Sub 25nm thick Vertical MOS and its Integration with Planar MOS Device IEEE Trans. Nanotech. 2006; 5 (5): 554-563
- Power/Performance Based Scalability Comparisons between Conventional and Novel Transistors Down to 32nm Technology Node IEEE SISPAD 2006: 290-293
- Ge on Si by Novel Heteroepitaxy for High Efficiency Near Infrared Photodetection 2006
- Band to Band Tunneling limited Off state Current in Ultra-thin Body Double Gate FETs with High Mobility Materials : III-V, Ge and strained Si/Ge IEEE SISPAD 2006: 389-382
- Ge on Si by Novel Heteroepitaxy for High Efficiency Near Infrared Photodetection CLEO 2006 2006
- Geometry dependence of Poly-Si Oxidation and Its Application to Self-align, Maskless Process for Nano-scale Vertical CMOS Structures 210th Electrochem. Soc. Meet. 2006
- Structural Evolution And Point Defects In Metal Oxide-Based High-K Gate Dielectrics in Defects in High-k Dielectric Stacks edited by Gusev, E. Springer. 2006: 109–120
- Improvement in High-k (HfO2/SiO2) Reliability by Incorporation of Fluorine IEEE Electron Dev. Lett. 2006; 27 (10): 821
- High Mobility, Ultra Thin (UT), Strained Ge MOSFETs On Bulk and SOI With Low Band To Band Tunneling (BTBT) Leakage : Experiments IEEE Trans. Electron Dev. 2006; 53 (5): 990-999
- Interface state Density measurement at GeOxNy-Ge interface for Ge MIS Application IEEE SISC 2006
- Performance Limitations of Si CMOS and Alternatives for Nanoelectronics Int. J. High Speed Electronics and Systems 2006; 16 (1): 175 – 192
- An Overview of Advanced Interconnect Solutions 1st Int. Workshop on Interconnect Design and Variability 2006
- High Efficiency MSM Photodetectors on Heteroepitaxially Grown Ge on Si Optics Letters 2006; 31 (17): 2565-2567
- Collaborative Research Centers in USA in Electronics Birla Institut of Technology & Science, Bangalore Campus 2006
- Physics and Technology of High Performance, Strained Germanium Channel, Heterostructure MOSFETs IEEE Int. Workshop on Nano CMOS 2006
- High Mobility, Low Band To Band Tunneling (BTBT), Strained Germanium, Double Gate (DG), Heterostructure FETs : Simulations IEEE Trans. Electron Dev. 2006; 53 (5): 1000-1009
- Germanium Surface Cleaning with Hydrochloric Acid 2006
- Performance Limitations of Si CMOS and Alternatives for Nanoelectronics Frontiers in Electronics: Proceedings of the WOFE-04 edited by Iwai, H., Nishi, Y., Shur, M., S. World Scientific, New Jersey. 2006: 1
- Very High Performance, Ultrathin, Strained-Ge Channel, Heterostructure FETs with High Mobility and Low BTBT Leakage 2006
- Evaluating Strained/Relaxed-Ge, Strained-Si, Strained-SiGe For Future Nanoscale p-MOSFETs 2006
- Strained-Si, Relaxed-Ge Or Strained-(Si) Ge For Future Nanoscale p-MOSFETs 2006
- Very High Performance, Ultra-thin, Strained-Ge Channel, Heterostructure FETs With High Mobility And Low BTBT Leakage 2006
- Germanium MOSFETs for Nanoelectronics 2006
- Interface Layers for High-k/Ge Gate Stacks: Are They Necessary? 2006
-
High mobility materials and novel device structures for high performance nanoscale MOSFETs
IEEE International Electron Devices Meeting
IEEE. 2006: 395–398
View details for Web of Science ID 000247357700098
-
Theoretical investigation of performance in uniaxially- and biaxially-strained Si, SiGe and Ge double-gate p-MOSFETs
IEEE International Electron Devices Meeting
IEEE. 2006: 681–684
View details for Web of Science ID 000247357700170
-
Investigation of the performance limits of III-V double-gate n-MOSFETs
16th Biennial University/Government/Industry Microelectronics Symposium
IEEE. 2006: 47–50
View details for Web of Science ID 000248935100008
-
Performance comparison between vertical-cavity surface-emitting laser and quantum-well modulator for short-distance optical links
IEEE PHOTONICS TECHNOLOGY LETTERS
2006; 18 (1-4): 520-522
View details for DOI 10.1109/LPT.2005.963986
View details for Web of Science ID 000235899300171
-
Comparative study on electrical and microstructural characteristics of ZrO2 and HfO2 grown by atomic layer deposition
JOURNAL OF MATERIALS RESEARCH
2005; 20 (11): 3125-3132
View details for DOI 10.1557/JMR.2005.0394
View details for Web of Science ID 000233095400032
-
Germanium n-type shallow junction activation dependences
APPLIED PHYSICS LETTERS
2005; 87 (9)
View details for DOI 10.1063/1.2037861
View details for Web of Science ID 000231503700027
-
Minimizing power dissipation in optical interconnects at low voltage using optimal modulator design
IEEE TRANSACTIONS ON ELECTRON DEVICES
2005; 52 (8): 1713-1721
View details for DOI 10.1109/TED.2005.851821
View details for Web of Science ID 000230802200006
-
Chemical states and electronic structure of a HfO2/Ge(001) interface
APPLIED PHYSICS LETTERS
2005; 87 (4)
View details for DOI 10.1063/1.2006211
View details for Web of Science ID 000230725900045
-
Ge based high performance nanoscale MOSFETs
14th Biennial Conference on Insulating Films on Semiconductors
ELSEVIER SCIENCE BV. 2005: 15–21
View details for DOI 10.1016/j.mee.2005.04.038
View details for Web of Science ID 000231517000005
-
Zirconia-germanium interface photoemission spectroscopy using synchrotron radiation
JOURNAL OF APPLIED PHYSICS
2005; 97 (11)
View details for DOI 10.1063/1.1922090
View details for Web of Science ID 000229804700034
-
Fabrication of high-quality p-MOSFET in Ge grown heteroepitaxially on Si
IEEE ELECTRON DEVICE LETTERS
2005; 26 (5): 311-313
View details for DOI 10.1109/LED.2005.846578
View details for Web of Science ID 000228706300011
-
Formation of an interfacial Zr-silicate layer between ZrO2 and Si through in situ vacuum annealing
APPLIED PHYSICS LETTERS
2005; 86 (8)
View details for DOI 10.1063/1.1866644
View details for Web of Science ID 000227609000054
-
Advanced germanium MOS devices and technology
IEEE Conference on Electron Devices and Solid-State Circuits
IEEE. 2005: 101–106
View details for Web of Science ID 000245210600022
-
Low defect ultra-thin fully strained-Ge MOSFET on relaxed Si with high mobility and low band-to-band-tunneling (BTBT)
25th Symposium on VLSI Technology
JAPAN SOCIETY APPLIED PHYSICS. 2005: 82–83
View details for Web of Science ID 000234973100032
-
The impact of technology on power for high-speed electrical and optical interconnects
IEEE International InterconnectTechnology Conference 2005
IEEE. 2005: 177–179
View details for Web of Science ID 000230788400055
-
Improvement in high-k (HfO2/SiO2) reliability by incorporation of fluorine
IEEE International Electron Devices Meeting
IEEE. 2005: 429–432
View details for Web of Science ID 000236225100097
- The Impact of Technology on Power for High-speed Electrical and Optical Interconnects 2005
- Performance Limitations of Si CMOS and Alternatives for Nanoelectronics 2005
-
Physical mechanisms of electron mobility enhancement in uniaxial stressed MOSFETs and impact of uniaxial stress engineering in ballistic regime
IEEE International Electron Devices Meeting
IEEE. 2005: 135–138
View details for Web of Science ID 000236225100029
- Performance Limitations of Si CMOS and Alternatives for Nanoelectronics 2005
- The Need for New Materials to Scale CMOS Devices 2005
- Ge Based High Performance MOSFETs 2005
- 1A Novel Spacer Process for Sub 25nm thick Vertical MOS and its Integration with Planar MOS Device 2005 Silicon Nanoelectronics Workshop 2005
- Study of germanium surface in wet chemical solutions for surface cleaning applications ECS Transactions 2005; 1 (3): 214-219
- Gate Dielectrics for Ge MOS Technology 208th Meeting of The Electrochem. Soc. 2005
- High Quality Heteroepitaxial-GE Layers on SI by Multi-Step Hydrogen Annealing and Re-Growth 2005
- Ge Based High Performance Nanoscale MOSFETs 2005
- Innovative Device Structures And New Materials For Nanoelectronics IWPSD 2005
- A Reason for Poor Ge n-MOSFET Performance: Source/Drain Junction Dose-Dependent Activation 2005
- Ge Based High Performance Nanoscale MOSFETs and Integrated Optical Interconnects 2005
- Low Defect Ultra-thin Fully Strained Germanium MOSFET on relaxed Silicon with High Mobility and Low Band-To-Band-Tunneling (BTBT) 2005
- Soft X-ray Photoemission Studies of HfO2 on Ge (001) 2005
-
Interfacial characteristics of HfO2 grown on nitrided Ge (100) substrates by atomic-layer deposition
APPLIED PHYSICS LETTERS
2004; 85 (14): 2902-2904
View details for DOI 10.1063/1.1797564
View details for Web of Science ID 000224547300076
-
Effects of hydrogen annealing on heteroepitaxial-Ge layers on Si: Surface roughness and electrical quality
APPLIED PHYSICS LETTERS
2004; 85 (14): 2815-2817
View details for DOI 10.1063/1.1802381
View details for Web of Science ID 000224547300047
-
Engineering chemically abrupt high-k metal oxide/silicon interfaces using an oxygen-gettering metal overlayer
JOURNAL OF APPLIED PHYSICS
2004; 96 (6): 3467-3472
View details for DOI 10.1063/1.1776636
View details for Web of Science ID 000223720000069
-
Scalability and electrical properties of germanium oxynitride MOS dielectrics
IEEE ELECTRON DEVICE LETTERS
2004; 25 (9): 613-615
View details for DOI 10.1109/LED.2004.833830
View details for Web of Science ID 000223577600008
-
Power comparison between high-speed electrical and optical interconnects for interchip communication
JOURNAL OF LIGHTWAVE TECHNOLOGY
2004; 22 (9): 2021-2033
View details for DOI 10.1109/JLT.2004.833531
View details for Web of Science ID 000223796900002
-
Zirconia grown by ultraviolet ozone oxidation on germanium(100) substrates
JOURNAL OF APPLIED PHYSICS
2004; 96 (1): 813-819
View details for DOI 10.1063/1.1745118
View details for Web of Science ID 000222093300129
-
Integration of optical polymer pillars chip I/O interconnections with Si MSM photodetectors
IEEE TRANSACTIONS ON ELECTRON DEVICES
2004; 51 (7): 1084-1090
View details for DOI 10.1109/TED.2004.830643
View details for Web of Science ID 000222279200007
-
Atomic layer deposition of high-kappa dielectric for germanium MOS applications-substrate surface preparation
IEEE ELECTRON DEVICE LETTERS
2004; 25 (5): 274-276
View details for DOI 10.1109/LED.2004.827285
View details for Web of Science ID 000221180900016
-
Crystallization kinetics and microstructure-dependent leakage current behavior of ultrathin HfO2 dielectrics: In situ annealing studies
APPLIED PHYSICS LETTERS
2004; 84 (12): 2064-2066
View details for DOI 10.1063/1.1667621
View details for Web of Science ID 000220268500018
-
Microstructural evolution of ZrO2-HfO2 nanolaminate structures grown by atomic layer deposition
JOURNAL OF MATERIALS RESEARCH
2004; 19 (2): 643-650
View details for Web of Science ID 000222316200037
-
Very high performance, sub-20nm, strained Si and SixGe1-x hetero-structure, center channel (CC) NMOS and PMOS DGFETs
International Conference on Simulation of Semiconductor Processes and Devices
SPRINGER-VERLAG WIEN. 2004: 191–194
View details for Web of Science ID 000225174600043
-
Novel process for fully self-aligned planar ultrathin body Double-Gate FET
IEEE International SOI Conference
IEEE. 2004: 190–191
View details for Web of Science ID 000224729600066
-
Power optimization of future transistors and a resulting global comparison standard
50th IEEE International Electron Devices Meeting
IEEE. 2004: 415–418
View details for Web of Science ID 000227158500094
-
Analytical modeling of Ge and Si double-gate(DG) NFETs and the effect of process induced variations (PIV) on device performance
International Conference on Simulation of Semiconductor Processes and Devices
SPRINGER-VERLAG WIEN. 2004: 359–362
View details for Web of Science ID 000225174600085
-
Advanced germanium MOSFET technologies with high-kappa gate dielectrics and shallow junctions
International Conference on Integrated Circuit Design and Technology
IEEE. 2004: 245–252
View details for Web of Science ID 000222329700042
-
Power comparison between high-speed electrical and optical interconnects for inter-chip communication
7th Annual International Interconnect Technology Conference
IEEE. 2004: 116–118
View details for Web of Science ID 000223636300034
- High-k (ZrO2, HfO2) Dielectrics on Si Substrates Synthesized by Elevated Temperature UV-Ozone Oxidation Technique 2004
- Performance Limitations of Devices and Interconnects and Possible Alternatives for Nanoelectronics 2004
- Engineering Chemically Abrupt High-k/Silicon Interfaces Using Oxygen-Gettering Metal Overlayers presented at WODIM 2004 2004
- Analytical Modeling of Ge and Si Double-Gate(DG) NFETs and the Effect of Process Induced Variations (PIV) on Device Performance IEEE SISPAD 2004
- Metal Oxide/Semiconductor Interfaces in UV-Ozone Oxidized High-k Dielectric Stacks on Si and Ge (001) Substrates 2004
- Novel Process for Fully Self-Aligned Planar Ultrathin Body Double-Gate FET 2004
- High-k Interface Engineering: the Interaction of Reactive Metal Electrodes with ALD-ZrO2/SiO2 and HfO2/SiO2 Gate Stacks 2004
- Novel Deposition Processes for High-k/Ge Devices: Interface Engineering to be presented in MRS 2004 Spring Meeting, Symposium on Joint Session: High-k and High Mobility Substrates, Paper B5.1/D5.1, San Francisco, CA 2004
- 3-Dimensional ICs: Motivation, Performance Analysis and Technology 2004
- Self-consistent Power/Performance/Reliability Analysis for Copper Interconnects presented in SLIP 2004 2004
- Power Optimization of Future Transistors and a Resulting Global Comparison Standard IEEE IEDM 2004
- Ge Surface Passivation for High Performance MOSFETs 2004
- Power Comparison between High-speed Electrical and Optical Interconnects for Inter-chip Communication 2004
- Ge and SiGe for High Performance MOSFETs and Integrated Optical Interconnects 2004
- Effects of Hydrogen Annealing on Heteroepitaxial-Ge layers on Si : Surface Roughness and Electrical Quality 206th meet. Electrochem. Soc. 2004
- Performance Limitations of Devices and Interconnects and Possible Alternatives for Nanoelectronics IEEE Advanced Workshop on 'Frontiers in Electronics' WOFE 2004 2004
- Low Thermal Budget Ge MOS Technology 205th Meeting of The Electrochem. Soc. 2004
- Novel Deposition Processes for High-k/Ge Devices: Interface Engineering 2004
- High-k Interface Engineering: the Interaction of Reactive Metal Electrodes with ALD-ZrO2/SiO2 and HfO2/SiO2 Gate Stacks 2004
- Ge MOS Dielectric Stack with ALD High-k Metal Oxide and Oxynitride Interlayer 2004
- Synchrotron Radiation Photoemission Spectroscopy of High-k Gate Stack in High-performance Ge MOS Devices 2004
-
Optimization of extrinsic source/drain resistance in ultrathin body double-gate FETs
8th Silicon Nanoelectronics Workshop
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 2003: 265–70
View details for DOI 10.1109/TNANO.2003.820780
View details for Web of Science ID 000189021300013
-
Effective dark current suppression with asymmetric MSM photodetectors in Group IV semiconductors
IEEE PHOTONICS TECHNOLOGY LETTERS
2003; 15 (11): 1585-1587
View details for DOI 10.1109/LPT.2003.818683
View details for Web of Science ID 000186113900031
-
Activation and diffusion studies of ion-implanted p and n dopants in germanium
APPLIED PHYSICS LETTERS
2003; 83 (16): 3275-3277
View details for DOI 10.1063/1.1618382
View details for Web of Science ID 000185954400015
-
Local epitaxial growth of ZrO2 on Ge(100) substrates by atomic layer epitaxy
APPLIED PHYSICS LETTERS
2003; 83 (13): 2647-2649
View details for DOI 10.1063/1.1613031
View details for Web of Science ID 000185521400049
-
Germanium nanowire field-effect transistors with SiO2 and high-kappa HfO2 gate dielectrics
APPLIED PHYSICS LETTERS
2003; 83 (12): 2432-2434
View details for DOI 10.1063/1.1611644
View details for Web of Science ID 000185333200044
-
High performance submicrometer CMOS with metal induced lateral crystallization of amorphous silicon
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
2003; 150 (8): G443-G449
View details for DOI 10.1149/1.1586302
View details for Web of Science ID 000184205300053
-
Atomic layer deposition of ZrO2 on W for metal-insulator-metal capacitor application
APPLIED PHYSICS LETTERS
2003; 82 (17): 2874-2876
View details for DOI 10.1063/1.1569985
View details for Web of Science ID 000182399700043
-
Optical interconnects for future high performance integrated circuits
Spring Meeting of the European-Materials-Research-Society (E-MRS)
ELSEVIER SCIENCE BV. 2003: 620–27
View details for Web of Science ID 000181839800059
-
Effects of crystallization on the electrical properties of ultrathin HfO2 dielectrics grown by atomic layer deposition
APPLIED PHYSICS LETTERS
2003; 82 (1): 106-108
View details for DOI 10.1063/1.1533117
View details for Web of Science ID 000180134100036
-
A model for crystal growth during metal induced lateral crystallization of amorphous silicon
JOURNAL OF APPLIED PHYSICS
2003; 93 (1): 175-181
View details for DOI 10.1063/1.1526937
View details for Web of Science ID 000180002500029
-
A novel sub-20nm Depletion-Mode Double-Gate (DMDG) FET
IEEE International Conference on Simulation of Semiconductor Processes and Devices
IEEE. 2003: 243–246
View details for Web of Science ID 000185660800060
-
Closed-form analytical thermal model for accurate temperature estimation of multilevel ULSI interconnects
Symposium on VLSI Circuits
JAPAN SOCIETY APPLIED PHYSICS. 2003: 275–278
View details for Web of Science ID 000185582200076
-
A germanium NMOSFET process integrating metal gate and improved hi-kappa dielectrics
IEEE International Electron Devices Meeting
IEEE. 2003: 437–440
View details for Web of Science ID 000189158800100
- A Novel Self-aligned Gate-last MOSFET Process Comparing the High-k Candidates 2003
- Multi University Research Centers in USA for Device and Interconnect Research 2003
- Crystallization of HfO2 Synthesized by Atomic Layer Deposition: Electrical and Microstructural Behavior ECS Fall Meeting 2003
- A Germanium NMOSFET Process Integrating Metal Gate and Improved Hi-k Dielectrics IEEE International Electron Devices Meeting (IEDM) 2003 Technical Digest 2003: 437-440
- High-k Metal Oxides Dielectrics on Ge (100) Substrates 2003
- Mass Transfer for Cross-Contamination with ZrO2 Plasma Etching 2003
- 3-D IC Deep Submicron Interconnect Performance Modeling and Analysis In Interconnect Technology and Design for Gigascale Integration Boston. 2003: 325–382
- Nickel induced crystallization of a-Si gate electrode at 500C and MOS capacitor reliability IEEE Trans. Electron Dev. 2003; 50 (4): 1058 -1062
- Asymmetric Group IV MSM Photodetectors with Reduced Dark Currents CLEO 2003
- Novel Germanium Technology and Devices for High Performance MOSFETs and Integrated On-chip Optical Clocking 203rd Meeting of the Electrochem. Soc. 2003
- Performance Limitations of Metal Interconnects and Possible Alternatives 203rd Meeting of the Electrochem. Soc. 2003
- A novel sub-20nm Depletion-Mode Double-Gate (DMDG) FET IEEE SISPAD 2003
- Atomic Layer Deposition of ZrO2 on Si and Ge Substrate 2003
- UV-Ozone Oxidized High-k Dielectrics on Si and Ge Substrates 2003
- The Structural and Electrical Properties of Ultra-Thin HfO2 and Nanolaminates Synthesized by Atomic Layer Deposition 2003
-
A novel, very high performance, sub-20nm depletion-mode double-gate (DMDG) Si/SixGe(1-x)/Si channel PMOSFET
IEEE International Electron Devices Meeting
IEEE. 2003: 687–690
View details for Web of Science ID 000189158800157
-
Minimizing power dissipation in chip to chip optical interconnects using optimal modulators and laser power
6th Annual International Interconnect Technology Conference
IEEE. 2003: 224–226
View details for Web of Science ID 000184465800066
-
Single-crystalline Si on insulator in confined structures fabricated by two-step metal-induced crystallization of amorphous Si
APPLIED PHYSICS LETTERS
2002; 81 (24): 4634-4636
View details for DOI 10.1063/1.1527977
View details for Web of Science ID 000179611800046
-
Thermal stability of polycrystalline silicon electrodes on ZrO2 gate dielectrics
APPLIED PHYSICS LETTERS
2002; 81 (8): 1417-1419
View details for DOI 10.1063/1.1499513
View details for Web of Science ID 000177351600017
-
Germanium MOS capacitors incorporating ultrathin high-kappa gate dielectric
IEEE ELECTRON DEVICE LETTERS
2002; 23 (8): 473-475
View details for DOI 10.1009/LED.2002.801319
View details for Web of Science ID 000177207300010
-
Technology and reliability constrained future copper interconnects - Part I: Resistance modeling
IEEE TRANSACTIONS ON ELECTRON DEVICES
2002; 49 (4): 590-597
View details for Web of Science ID 000174667600009
-
Technology and reliability constrained future copper interconnects - Part II: Performance implications
IEEE TRANSACTIONS ON ELECTRON DEVICES
2002; 49 (4): 598-604
View details for Web of Science ID 000174667600010
-
Power dissipation in optical clock distribution network for high performance ICs
5th Annual International Interconnect Technology Conference (IITC)
IEEE. 2002: 151–153
View details for Web of Science ID 000176641200045
-
Electromigration reliability of low capacitance air-gap interconnect structures
5th Annual International Interconnect Technology Conference (IITC)
IEEE. 2002: 203–205
View details for Web of Science ID 000176641200062
-
A methodology for the interconnect performance evaluation of 2D and 3D processors with memory
5th Annual International Interconnect Technology Conference (IITC)
IEEE. 2002: 164–166
View details for Web of Science ID 000176641200049
-
Scaling trends for the on chip power dissipation
5th Annual International Interconnect Technology Conference (IITC)
IEEE. 2002: 170–172
View details for Web of Science ID 000176641200051
-
Development of a physical model of UV induced bulk photoconduction in silicon dioxide and application to charging damage
7th International Symposium on Plasma- and Process-Induced Damage
AMERICAN VACUUM SOCIETY NORTHERN CALIFORNIA CHAPTER. 2002: 23–26
View details for Web of Science ID 000179072600006
-
Analytical thermal model for multilevel VLSI interconnects incorporating via effect
IEEE ELECTRON DEVICE LETTERS
2002; 23 (1): 31-33
View details for Web of Science ID 000173259800011
-
A Sub-400 degrees C germanium MOSFET technology with high-kappa dielectric and metal gate
IEEE International Electron Devices Meeting
IEEE. 2002: 437–440
View details for Web of Science ID 000185143400100
- Power Estimation in Global Interconnects and its Reduction using a Novel Repeater Optimization Methodology 2002
- Materials and Electrical Properties of ZrO2, HfO2 and Nano-laminate Gate Dielectrics Grown by ALD MRS Fall Meeting 2002
- Germanium MOS Capacitors Incorporating Ultrathin High-k Gate Dielectric IEEE Electron Dev. Lett. 2002; EDL-23: 473-475
- Single-crystalline Si on insulator in confined structures fabricated by two-step metal-induced crystallization of amorphous Si Appl. Phys. Lett. 2002; 81 (24)
- Collaborative Research Centers in USA in Electronics Opening Ceremony of the National Nanotechnology Researchers Network Centers of Japan 2002
- A Sub-400'C Germanium MOSFET Technology with High-k Dielectric and Metal Gate IEEE Int. Electron Dev. Meet. 2002
- Scaling Induced Performance Limitations of Metal Interconnects IEEE ISSCC Microprocessor Design Workshop 2002
- Scaling Trends for the On Chip Power Dissipation 2002
- The Ultrathin-Body Vertical Replacement-Gate MOSFET: A Highly-Scalable, Fully-Depleted MOSFET with a Deposition-Defined Ultrathin (< 15 nm) Silicon Body 2002 IEEE Si Nanoelectronics Workshop 2002
- The Structural and Electrical Properties of Ultra-Thin HfO2 and Nanolaminates Synthesized by Atomic Layer Deposition IEEE Semiconductor Interface Specialists Conference (SISC) 2002
- Analytical Thermal Model for Multilevel VLSI Interconnects Incorporating Via Effect IEEE Electron Device Letters 2002; 23 (1): 31-33
- Electrical and Materials Properties of ALD-Grown ZrO2 and HfO2 Gate Dielectrics MRS 2002 spring meeting 2002
- Performance Limitations of Metal Interconnects and Possible Alternatives Presented at the SEMICON 2002
- Ultrathin High-k Gate Dielectric Technology for Germanium MOS Applications 2002
- Electromigration Reliability of Low Capacitance Air-Gap Interconnect Structures 2002
- A Methodology for the Interconnect Performance Evaluation of 2D and 3D Processors with Memory 2002
-
Impact of joule heating on scaling of deep sub-micron Cu/low-k interconnects
Symposium on VLSI Technology
IEEE. 2002: 38–39
View details for Web of Science ID 000176856300014
-
Comparisons between electrical and optical interconnects for on-chip signaling
5th Annual International Interconnect Technology Conference (IITC)
IEEE. 2002: 89–91
View details for Web of Science ID 000176641200027
-
Power estimation in global interconnects and its reduction using a novel repeater optimization methodology
39th Design Automation Conference
ASSOC COMPUTING MACHINERY. 2002: 461–466
View details for Web of Science ID 000177213300081
-
Reliability studies on multilevel interconnection with intermetal dielectric air gaps
12th European Symposium on the Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2001)
PERGAMON-ELSEVIER SCIENCE LTD. 2001: 1631–35
View details for Web of Science ID 000171384900060
-
3-D ICs: A novel chip design for improving deep-submicrometer interconnect performance and systems-on-chip integration
PROCEEDINGS OF THE IEEE
2001; 89 (5): 602-633
View details for Web of Science ID 000169450900003
-
Electrical and materials properties of ZrO2 gate dielectrics grown by atomic layer chemical vapor deposition
APPLIED PHYSICS LETTERS
2001; 78 (16): 2357-2359
View details for Web of Science ID 000168275200031
-
Interconnect limits on gigascale integration (GSI) in the 21st century
PROCEEDINGS OF THE IEEE
2001; 89 (3): 305-324
View details for Web of Science ID 000167927300006
-
Compact modeling and SPICE-based simulation for electrothermal analysis of multilevel ULSI interconnects
International Conference on Computer Aided Design (ICCAD 2001)
IEEE. 2001: 165–172
View details for Web of Science ID 000173974300025
-
A new analytical thermal model for multilevel ULSI interconnects incorporating via effect
4th Annual International Interconnect Technology Conference (IITC)
IEEE COMPUTER SOC. 2001: 92–94
View details for Web of Science ID 000169777900030
-
Realistic copper interconnect performance with technological constraints
4th Annual International Interconnect Technology Conference (IITC)
IEEE COMPUTER SOC. 2001: 233–235
View details for Web of Science ID 000169777900072
- Phase Diagram Simulations in Amorphous metal Silicate Systems 2001
- Lateral Gate All-Around (GAA) poly-Si Transistors 2001
- Electrical and Material Properties of ZrO2 Gate Dielectrics by Atomic Layer Chemical Vapor Deposition Applied Physics Letters. 2001
- 3-D ICs: A Novel Chip Design for Improving Deep Submicron Interconnect Performance and Systems-on-Chip Integration 2001
-
Modeling and simulation of feature-size-dependent etching of metal stacks
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
2001; 19 (1): 179-185
View details for Web of Science ID 000167130200034
- Modeling and Simulation of Feature-Size-Dependent Etching of Metal Stacks JVST B 2001
- 3-D Heterogeneous ICs: A Technology for the Next Decade and Beyond 5th IEEE Workshop on Signal Propagation on Interconnects 2001
- Reliability studies on Multilevel Interconnection with Intermetal Dielectric Airgaps Microelectronics Reliability 2001; 41: 1631-1635
- A New Analytical Thermal Model for Multilevel ULSI Interconnects Incorporating Via Effect 2001
- Thermal Analysis of Heterogeneous 3-D ICs with Various Integration Scenarios EEE Int. Electron Dev. Meet. 2001: 681-684
- Realistic Copper Interconnect Performance with Technological Constraints,Interconnect Performance Modeling for 3-D ICs With Multiple Si Layers 2001
- Si/ZrO2/Si Gate Stack Systems 2001
- Interconnect Limits on Gigascale Integration (GSI) in the 21st Century 2001
- Interconnect Limits on Gigascale Integration(Gsi) In The 21st Century 2001
-
Impact of vias on the thermal effect of deep sub-micron Cu/low-k interconnects
Symposium on VLSI Technology
JAPAN SOCIETY APPLIED ELECTROMAGNETICS & MECHANICS. 2001: 141–142
View details for Web of Science ID 000173416600069
-
A strategy for modeling of variations due to grain size in polycrystalline thin-film transistors
IEEE TRANSACTIONS ON ELECTRON DEVICES
2000; 47 (5): 1035-1043
View details for Web of Science ID 000087081700020
-
Effect of physical stress on the degradation of thin SiO2 films under electrical stress
IEEE TRANSACTIONS ON ELECTRON DEVICES
2000; 47 (4): 746-755
View details for Web of Science ID 000086356600013
- Control of Amorphous Si Crystallization Using Ge Deposited by LPCVD 2000
-
Multiple Si layer ICs: Motivation, performance analysis, and design implications
37th Annual Design Automation Conference (DAC)
ASSOC COMPUTING MACHINERY. 2000: 213–220
View details for Web of Science ID 000166739300040
-
Direct experimental determination and modeling of VUV induced bulk conduction in dielectrics during plasma processing
5th International Symposium on Plasma Process-Induced Damage (P2ID)
AMERICAN VACUUM SOCIETY NORTHERN CALIFORNIA CHAPTER. 2000: 157–160
View details for Web of Science ID 000166707200043
-
Measurement of VUV induced surface conduction in dielectrics using synchrotron radiation
5th International Symposium on Plasma Process-Induced Damage (P2ID)
AMERICAN VACUUM SOCIETY NORTHERN CALIFORNIA CHAPTER. 2000: 14–17
View details for Web of Science ID 000166707200005
-
Effect of via separation and low-k dielectric materials on the thermal characteristics of Cu interconnects
IEEE International Electron Devices Meeting (IEDM)
IEEE. 2000: 261–264
View details for Web of Science ID 000166855900060
- Multiple Si Layer ICs: Motivation, Performance Analysis, and Design Implications 2000
- A Novel sub-10nm transistor 2000
- 3-D ICs: Motivation, Performance Analysis, and Technology 2000
- Very High Performance 40nm CMOS with Ultra-thin Nitride/Oxynitride Stack Gate Dielectric and Pre-doped Dual Poly-Si Gate Electrode IEEE Int. Electron Dev. Meet. 2000: 860~861
- Effect of Via Separation and Low-k Materials on the Thermal Characteristics of Cu Interconnects IEEE Int. Electron Dev. Meet. 2000: 261~264
- A strategy for modeling of variations due to grain size in polycrystalline thin film transistors IEEE Trans. Electron Dev. 2000; 47: 1035~1043
- Effect of Physical Stress on the Degradation of Thin SiO2 Films Under Electrical Stress IEEE Trans. Electron Dev. 2000; 47 (4): 746~755
- Effects of VUV on Plasma Charging 2000
- Direct Experimental Determination and Modeling of VUV Induced Bulk Conduction in Dielectrics during Plasma Processing 2000
- Measurement of VUV Induced Surface Conduction in Dielectrics Using Synchrotron Radiation 2000
- High performance 200nm single-grain TFTs fabricated using a self-aligned germanium seeding technology 2000 MRS Spring Meeting 2000
- 3-D ICs: Performance Analysis, and Technology 197th Meeting of the Electrochem. Soc. 2000
- Quantitative Projections of Reliability and Performance for Low-k/CuInterconnect Systems 2000
-
Abatement of perfluorocarbons with an inductively coupled plasma reactor
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
1999; 17 (6): 2638-2643
View details for Web of Science ID 000084282800040
-
Analytical modeling of silicon etch process in high density plasma
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
1999; 17 (5): 2485-2491
View details for Web of Science ID 000082596600011
-
Low-leakage germanium-seeded laterally-crystallized single-grain 100-nm TFT's for vertical integration applications
IEEE ELECTRON DEVICE LETTERS
1999; 20 (7): 341-343
View details for Web of Science ID 000081151400010
-
Dependence of Fermi level positions at gate and substrate on the reliability of ultrathin MOS gate oxides
IEEE TRANSACTIONS ON ELECTRON DEVICES
1999; 46 (7): 1457-1463
View details for Web of Science ID 000081090900024
-
Influence of process-induced stress on device characteristics and its impact on scaled device performance
IEEE TRANSACTIONS ON ELECTRON DEVICES
1999; 46 (6): 1245-1252
View details for Web of Science ID 000080532400028
-
Air gaps lower k of interconnect dielectrics
SOLID STATE TECHNOLOGY
1999; 42 (2): 51-?
View details for Web of Science ID 000078336700014
- Novel 3-D Structures 1999
-
Dependence of oxide electric field and gate electrode workfunction on the reliability of thin MOS gate oxides
5th International Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films
ELECTROCHEMICAL SOCIETY INC. 1999: 3–10
View details for Web of Science ID 000083811800001
-
Sub-micron thin film transistors with metal induced lateral crystallization
1st Symposium on ULSI Process Integration, at the 196th Meeting of the Electrochemical-Society
ELECTROCHEMICAL SOCIETY INC. 1999: 361–65
View details for Web of Science ID 000083149300034
-
Rapid thermal anneal of gate oxides for low thermal budget TFT's
IEEE TRANSACTIONS ON ELECTRON DEVICES
1999; 46 (1): 63-69
View details for Web of Science ID 000077769000009
- Sub-micron Thin Film Transistors with Metal Induced Lateral Crystallization 196th Meeting of the Electrochem. Soc. 1999
- Abatement of perfluorocarbons with an inductively coupled plasma reactor J. Vac. Sci. & Tech. B: Vacuum, Surfaces, and Films 1999; 17 (6): 2638~43
- Analytical modeling of silicon etch process in high density plasma J. Vac. Sci. & Tech. A: Vacuum 1999; 17 (5): 2485~2491
- Low-leakage Germanium-seeded Laterally-crystallized Single-grain 100nm TFTs for Vertical Integration Applications IEEE Electron Dev. Lett. 1999; 20 (7): 341~343
- Influence of Process-Induced Stress on Device Characteristics and its Impact on Scaled Device Performance IEEE Trans. Electron Dev. 1999; 46: 1245~1252
- Flux Characterization and Topography Simulation of HDP-CVD of Silicon Dioxide 1999
- Dependence of Oxide Electric Field and Gate Electrode Workfunction on the Reliability of Thin MOS Gate Oxides 1999
- Rapid thermal anneal of gate oxides for low thermal budget TFTs IEEE Trans. Electron Dev. 1999; 46: 63~69
- Surface Morphology of Metallo-Organic CVD of Copper Films for Seed Layer in Integrated Interconnects 1999
- Dependence of fermi level positions at gate and substrate on the reliability of ultrathin MOS gate oxides IEEE Trans. Electron Dev. 1999; 46 (7): 1457~1463
- Interconnect Performance Modeling for 3-D ICís With Multiple Si Layers 1999
- Effect of interface stress on the quasi-breakdown of ultrathin oxide 1999
- Polycrystalline-SiGe applications in Si CMOS Technology 1999
-
Characterization of border trap generation in rapid thermally annealed oxides deposited using silane chemistry
JOURNAL OF APPLIED PHYSICS
1998; 84 (5): 2722-2726
View details for Web of Science ID 000075590700049
-
High-performance germanium-seeded laterally crystallized TFT's for vertical device integration
IEEE TRANSACTIONS ON ELECTRON DEVICES
1998; 45 (9): 1934-1939
View details for Web of Science ID 000075486100011
-
In situ removal of native oxides from silicon surfaces using anhydrous hydrogen fluoride gas
ELECTROCHEMICAL AND SOLID STATE LETTERS
1998; 1 (2): 77-79
View details for Web of Science ID 000079490100006
-
Optimization of silicon-germanium TFT's through the control of amorphous precursor characteristics
IEEE TRANSACTIONS ON ELECTRON DEVICES
1998; 45 (8): 1690-1695
View details for Web of Science ID 000074867000009
-
Method for angular sputter yield extraction for high-density plasma chemical vapor deposition simulators
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
1998; 16 (3): 1123-1128
View details for Web of Science ID 000074298300030
- Evidence for heterojunction effects in polycrystalline Si1-xGex thin film transistors with Si caps 1998
-
Growth and characterization of thin wet oxides grown by rapid thermal processing
Symposium on Rapid Thermal and Integrated Processing at the MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1998: 143–150
View details for Web of Science ID 000076553400019
-
Integration and reliability issues for low capacitance air-gap interconnect structures
International Interconnect Technology Conference
I E E E. 1998: 125–127
View details for Web of Science ID 000075220300034
-
Effects of PECVD deposition fluxes on the spatial variation of thin film density of as-deposited SiO2 films in interconnect structures
International Interconnect Technology Conference
I E E E. 1998: 175–177
View details for Web of Science ID 000075220300048
-
Air-gap formation during IMD deposition to lower interconnect capacitance
IEEE ELECTRON DEVICE LETTERS
1998; 19 (1): 16-18
View details for Web of Science ID 000071161800006
- Method for angular sputter yield extraction for high-density plasma chemical vapor deposition simulators J. Vac. Sci. & Tech. B (Microelectronics and Nanometer Structures) AIP for American Vacuum Soc 1998; 16 (3): 1123~8
- Optimization of Silicon-Germanium TFTs Through the Control of Amorphous Precursor Characteristics IEEE Trans. Electron Dev. 1998; 45: 1690~1695
- Air-Gap Formation During ILD Deposition to Lower Interconnect Capacitance 1998
- Seeding Technology for High Performance TFTs 1998
- Characterization of border trap generation in rapid thermally annealed oxides deposited using silane chemistry Journal of Applied Physics 1998; 84 (5): 2722
- Air-Gap Formation During ILD Deposition to Lower Interconnect Capacitance IEEE Electron Device Lett. 1998; 19 (1): 16~18
- Modeling of grain size variation effects in polycrystalline thin film transistors Technical Digest of the IEEE International Electron Device Meeting 1998: 277~280
- High Performance Germanium-Seeded Laterally Crystallized TFTs for Vertical Device Integration IEEE Trans. Electron Dev. 1998; 45 (9): 1934~1939
- Passivation of poly-Si thin film transistors with ion-implanted deuterium 1998
- Silicon interlayer heterojunction effects in polycrystalline Si1-xGex thin film transistors 1998
- Growth of High Quality Wet Oxide by Rapid Thermal Processing 1998
-
Prediction of plasma charging induced gate oxide damage by plasma charging probe
IEEE ELECTRON DEVICE LETTERS
1997; 18 (10): 468-470
View details for Web of Science ID A1997XX23700002
-
Controlled two-step solid-phase crystallization for high-performance polysilicon TFT's
IEEE ELECTRON DEVICE LETTERS
1997; 18 (8): 378-381
View details for Web of Science ID A1997XM44100004
-
Bias temperature instability in hydrogenated thin-film transistors
IEEE TRANSACTIONS ON ELECTRON DEVICES
1997; 44 (7): 1102-1108
View details for Web of Science ID A1997XF92300010
-
In situ monitoring of crystallinity and temperature during rapid thermal crystallization of silicon on glass
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1997; 144 (6): 2216-2221
View details for Web of Science ID A1997XH82800070
- Effect Of Interface Stress on Reliability of Gate Oxide edited by Deen, J., Brown, M., Sundaram, K. 1997
-
Effect of annealing ambient on performance and reliability of low pressure chemical vapor deposited oxides for thin film transistors
Symposium on Flat Panel Display Materials II, at the 1996 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 287–292
View details for Web of Science ID A1997BH30A00044
-
TMCTS for gate dielectric in thin film transistors
Symposium on Flat Panel Display Materials II, at the 1996 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 281–286
View details for Web of Science ID A1997BH30A00043
-
Dependence of reliability of ultrathin MOS gate oxides on the Fermi level positions at gate and substrate
Symposium on Materials Reliability in Microelectronics, at the 1997 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 123–128
View details for Web of Science ID A1997BJ82V00016
-
Effect of interface stress on reliability of gate oxide
Symposium on Silicon Nitride and Silicon Dioxide Thin Insulating Films
ELECTROCHEMICAL SOCIETY INC. 1997: 34–45
View details for Web of Science ID A1997BJ51X00003
-
Cost modeling of low temperature large-area polysilicon thin-film transistor liquid crystal display manufacturing
Conference on Active Matrix Liquid Crystal Displays Technology and Applications
SPIE - INT SOC OPTICAL ENGINEERING. 1997: 160–165
View details for Web of Science ID A1997BH66C00021
-
A low temperature polycrystalline Si TFT technology for large area AMLCD drivers
Symposium on Polycrystalline Thin Films - Structure, Texture, Properties and Applications III, at the 1997 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 439–449
View details for Web of Science ID 000071462100068
-
Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors
Conference on Active Matrix Liquid Crystal Displays Technology and Applications
SPIE - INT SOC OPTICAL ENGINEERING. 1997: 127–132
View details for Web of Science ID A1997BH66C00017
-
Response surface characterization of the deposition of LPCVD SiGe for solid-phase crystallized poly-TFTS.
2nd International Workshop on Statistical Metrology (IWSM 97)
I E E E. 1997: 94–97
View details for Web of Science ID A1997BJ58H00023
-
A novel technique for 3-D integration: Ge-seeded laterally crystallized TFTs
1997 Symposium on VLSI Technology
JAPAN SOCIETY APPLIED PHYSICS. 1997: 97–98
View details for Web of Science ID A1997BJ59D00047
-
Laterally crystallized polysilicon TFTs using patterned light absorption masks
55th Annual Device Research Conference
IEEE. 1997: 54–55
View details for Web of Science ID A1997BJ45G00018
-
A low temperature polycrystalline SiGe CMOS TFT technology for large area AMLCD drivers
3rd Symposium on Thin Film Transistor Technologies (TFTT III)
ELECTROCHEMICAL SOCIETY INC. 1997: 186–96
View details for Web of Science ID A1997BH10T00020
-
A novel technique for in-situ monitoring of crystallinity and temperature during rapid thermal annealing of thin Si/Si-Ge films on quartz/glass
Symposium on Flat Panel Display Materials II, at the 1996 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 267–272
View details for Web of Science ID A1997BH30A00041
-
Design and characterization of SiGe TFT devices and process using Stanford's test chip design environment
1997 IEEE International Conference on Microelectronic Test Structures
I E E E. 1997: 143–145
View details for Web of Science ID A1997BH73B00029
-
Mesoscale modeling of diffusion in polycrystalline structures
1997 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD 97)
IEEE. 1997: 149–152
View details for Web of Science ID A1997BJ53T00037
-
Simulation of the effect of dielectric air gaps on interconnect reliability
Symposium on Materials Reliability in Microelectronics, at the 1997 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1997: 323–328
View details for Web of Science ID A1997BJ82V00043
- Mesoscale Modeling of Diffusion in Polycrystalline Structures 1997
- A Novel Technique for 3-D Integration: Ge-seeded Laterally Crystallized TFTs 1997
- Controlled 2-step Solid-Phase Crystallization for High Performance TFTs IEEE Electron Device Lett. 1997; 18 (8): 378~381
- Compound GeSi Structures: Novel Measurement Algorithm via Optical Reflectance Sptryectromet 1997
- Laterally Crystallized Polysilicon TFTs Using Patterned Light Absorption Masks 1997
- In-Situ Monitoring of Crystallinity and Temperature During Rapid Thermal Crystallization of Si on Glass/ Quartz Using an Acoustic Sensor J. Electrochem. Soc. 1997; 144 (6): 2216~2221
- A low temperature polycrystalline Si TFT technology for large area AMLCD drivers MRS spring meeting 1997
- Bias temperature instability in hydrogenated thin film transistors IEEE Trans. Electr. Dev. 1997; 44: 1102~1108
- Simulation of the effect of dielectric air gaps on interconnect reliability MRS spring meeting 1997
- Cost Modeling of Low Temperature Large-Area Polysilicon TFT LCD Manufacturing 1997
- Effect Of Interface Stress on Reliability of Gate Oxide 1997
- Prediction of Plasma Charging Induced Gate Oxide Damage by Plasma Charging Probe EEE Electron Dev. Lett. 1997; 18: 468~470
- An alternative gate electrode material of fully depleted SOI CMOS for low power applications 1997
-
Influence of post-oxidation cooling rate on residual stress and pn-junction leakage current in LOGOS isolated structures
IEEE TRANSACTIONS ON ELECTRON DEVICES
1996; 43 (11): 1989-1993
View details for Web of Science ID A1996VR35600031
-
Manufacturing cost of active-matrix liquid-crystal displays as a function of plant capacity
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING
1996; 9 (4): 562-572
View details for Web of Science ID A1996VR80400010
-
A high-performance polysilicon thin-film transistor using XeCl excimer laser crystallization of pre-patterned amorphous Si films
IEEE TRANSACTIONS ON ELECTRON DEVICES
1996; 43 (4): 561-567
View details for Web of Science ID A1996UC51100008
-
Charge trap generation in LPCVD oxides under high field stressing
IEEE TRANSACTIONS ON ELECTRON DEVICES
1996; 43 (4): 554-560
View details for Web of Science ID A1996UC51100007
-
Temperature measurement in rapid thermal processing using the acoustic temperature sensor
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING
1996; 9 (1): 115-121
View details for Web of Science ID A1996TW65900017
- TMCTS for gate dielectric in thin film transistors 1996
-
Effect of growth conditions on the reliability of ultrathin MOS gate oxides
Symposium on Materials Reliability in Microelectronics VI, at the 1996 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1996: 355–360
View details for Web of Science ID A1996BG81V00048
-
The influence of oxidation-induced stress on the generation current and its impact on scaled device performance
1996 International Electron Devices Meeting
IEEE. 1996: 709–712
View details for Web of Science ID A1996BG98F00158
-
Modeling, measurement and control of rapid thermal processing
1st Meeting on Transient Thermal Processing Techniques in Electronic Materials at the 1996 TMS Annual Meeting
MINERALS, METALS & MATERIALS SOC. 1996: 3–10
View details for Web of Science ID 000078837100001
-
In situ simultaneous measurement of temperature and thin film thickness with ultrasonic techniques
Conference on Nondestructive Evaluation for Process Control in Manufacturing
SPIE - INT SOC OPTICAL ENGINEERING. 1996: 131–135
View details for Web of Science ID A1996BG84R00014
-
In situ simultaneous measurement of temperature and thin film thickness with ultrasonic techniques
1996 IEEE Ultrasonic Symposium
I E E E. 1996: 1039–1042
View details for Web of Science ID A1996BH56C00216
-
Accelerated breakdown in thin oxide films due to interfacial stress and carrier depletion
Symposium on Materials Reliability in Microelectronics VI, at the 1996 MRS Spring Meeting
MATERIALS RESEARCH SOCIETY. 1996: 323–328
View details for Web of Science ID A1996BG81V00044
- Accelerated Breakdown in Thin Oxide Films due to Interfacial Stress and Carrier Depletion MRS 1996 spring meeting 1996
- Simulation of Aluminum Surface Profile in Trenches and Contacts/Vias For Ionized Physical Vapor Deposition 1996
- A Low Temperature Polycrystalline SiGe CMOS TFT Technology for Large Area AMLCD Drivers 1996
- Rapid Thermal Multiprocessing for a Programmable Factory For Manufacturing of ICs in Advances in Rapid Thermal and Integrated Processing edited by Roozeboom, F. Kluwer Academic Publishers, Dordrecht, The Netherlands. 1996: 375–414
- Influence of post-oxidation cooling rate on residual stress and pn-junction leakage current in LOCOS isolation structures IEEE Trans. Electr. Dev. 1996; 43: 1989~1993
- Charge Trap Generation in LPCVD Oxide Under High Field Stressing IEEE Trans. Electron Devices. 1996; 43 (4): 554~560
- Temperature Measurement in Rapid Thermal Processing Using the Acoustic Temperaturre Sensor IEEE Trans. Semicond. Manufacturing 1996; 9 (1): 115~121
- Modeling Measurements and Control of Rapid Thermal Processing edited by Ravindra, N., M., Singh, R., K. 1996
- A High-Performance Polysilicon Thin-Film Transistor Using XeCl Excimer Laser Crystallization of Pre-Patterned Amorphous Si Films IEEE Trans. Electron Devices. 1996; 43 (4): 561~567
- Manufacturing Cost of Active-Matrix Liquid-Crystal Displays as a Function of Plant Capacity IEEE Trans. on Semiconductor Manufacturing 1996; 9 (4): 562~572
- Scaling Limits for Interconnect Technology 1996
- The Influence of Oxidation Induced Stress on the Generation Current and its Impact on Scaled Device Performance IEEE Int. Electron Dev. Meet. 1996: 709~712
- Optimization and Modeling of Silicon-Germainium Thin Film Transistors for AMLCD Applications using a Plackett-Burman Experimental Design IEEE Statistical Metrology Workshop 1996
- A Study of Growth Conditions on Ultrathin MOS Gate Oxide Reliability MRS 1996 spring meeting 1996
- Rapid Thermal Anneal of Gate Oxide for low Thermal Budget TFTs SID 1996 spring meeting 1996
- Transistor Sizing for AMLCD Integrated TFT Drive Circuits 1996
- Effect of annealing ambient on performance and reliability of LPCVD oxides for TFTs 1996
- A Novel Technique for In-Situ Monitoring of Crystallinity and Temperature During Rapid Thermal Annealing of Thin Si/Si-Ge Films on Quartz/Glass 1996
-
EFFECTS OF WAFER TEMPERATURE ON PLASMA CHARGING INDUCED DAMAGE TO MOS GATE OXIDE
IEEE ELECTRON DEVICE LETTERS
1995; 16 (12): 534-536
View details for Web of Science ID A1995TH28600001
-
QUASI-3-DIMENSIONAL MODELING OF SUBMICRON LOCOS STRUCTURES
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING
1995; 8 (4): 390-401
View details for Web of Science ID A1995TE00300003
-
MATERIAL PROPERTIES OF LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITED SILICON-NITRIDE FOR MODELING AND CALIBRATING THE SIMULATION OF ADVANCED ISOLATION STRUCTURES
JOURNAL OF APPLIED PHYSICS
1995; 78 (4): 2837-2842
View details for Web of Science ID A1995RP71800100
-
OPTIMIZATION OF INTERMETAL DIELECTRIC DEPOSITION MODULE USING SIMULATION
2nd Topical Conference on Manufacturing Science and Technology, at the 41st National Symposium of the American-Vacuum-Society
A V S AMER INST PHYSICS. 1995: 1867–74
View details for Web of Science ID A1995RP99200079
-
STUDY ON HYDROGENATION OF POLYSILICON THIN-FILM TRANSISTORS BY ION-IMPLANTATION
IEEE TRANSACTIONS ON ELECTRON DEVICES
1995; 42 (6): 1134-1140
View details for Web of Science ID A1995QZ20000017
-
LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITION OF SI1-XGEX FILMS ON SIO2 - CHARACTERIZATION AND MODELING
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1995; 142 (5): 1566-1572
View details for Web of Science ID A1995QX76500037
-
IN-SITU THIN-FILM THICKNESS MEASUREMENT WITH ACOUSTIC LAMB WAVES
APPLIED PHYSICS LETTERS
1995; 66 (17): 2177-2179
View details for Web of Science ID A1995QU40600009
- Simulation Studies of TiN PVD and CVD Thin Films forin-Film Processi Contact/Via Liners, in Modeling and Simulation of Thng edited by Srolovitz., D., J. 1995
-
A new flexible rapid thermal processing system
1995 MRS Spring Meeting on Rapid Thermal and Integrated Processing
MATERIALS RESEARCH SOC. 1995: 35–47
View details for Web of Science ID A1995BE31M00005
-
A new flexible rapid thermal processing system
Materials-Research-Society Symposium on Modeling and Simulation of Thin-Film Processing
MATERIALS RESEARCH SOC. 1995: 307–319
View details for Web of Science ID A1995BE11P00043
- Rapid Thermal Multiprocessing for a Programmable Factory for Manufacturing of ICs edited by Rozeboom, F. 1995
- Comparison of Automated Capacitor Testing Methods for Plasma Charging Induced Damage 1995
- Quasi-Three-Dimensional Modeling of Sub-Micron LOCOS Structures IEEE Trans. Semicond. Manufacturing 1995; 8 (4)
- Three Dimensional PVD Virtual Reactor for VLSI Metalization IEDM Tech. Digest 1995: 97~100
- Bias temperature instability in hydrogenated polysilicon thin film transistors 1995
- Effects of Plant Scale on AM-LCD Amortization Costs 1995
- In-situ Ultrasonic wafer Temperature Sensor for RTP 1995
- Study on Hydrogenation of Polysilicon Thin Film Transistors by Ion Implantation IEEE Trans. Electron Devices. 1995; 42 (6): 1134~1140
- A New Flexible Rapid Thermal Processing System 1995
- Resistivity study of boron and phosphorous doped polycrystalline Si1-x Gex films Applied Physics Letters 1995; 2 (66): 195~197
- An improved calibration methodology for modeling advanced isolation structures Simulation of semiconductor devices and processes edited by Ryssel, H., Pichler, P. 1995: 42
- Simulation Studies of TiN PVD and CVD Thin Films forin-Film Processi Contact/Via Liners, in Modeling and Simulation of Thng Materials Research Society Symposium Proceedings edited by Srolovitz, D., J. 1995: 173~179
- Material properties of LPCVD silicon nitride for modeling and calibrating the simulation of advanced isolation structures J. Appl. Phys. 1995; 78: 2837
- Effects of Wafer Temperature on Plasma Charging Induced Damage to MOS Gate Oxides IEEE Electron Device Lett. 1995; 16 (12): 534~536
- Low Pressure Chemical Vapor Deposition of Si1-x Gex Films on SiO2 Characterization and Modeling J. Electrochem. Soc. 1995; 142 (5): 1566~1572
- Three Dimensional Simulation for Sputter Deposition Equipment and Processes in Simulation of Semiconductor Devices and Processes, Electrochemical. Soc. 1995; 6: 166~169
- Optimization of a Intermetal Dielectric Deposition Module Using Simulation J. Vac. Sci. & Technol. 1995; 4 (B 13): 1867~74
- Geometry dependence of polysilicon void formation in deep submicron PBL isolation technologies: evidence of the stress relaxation model 1995
- Toward RTP Control Using Ultrasonic Sensor 1995
-
MODELING, IDENTIFICATION, AND CONTROL OF RAPID THERMAL-PROCESSING SYSTEMS
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1994; 141 (11): 3200-3209
View details for Web of Science ID A1994PQ52400048
-
CORRELATION OF TRAP GENERATION TO CHARGE-TO-BREAKDOWN (QBD) - A PHYSICAL-DAMAGE MODEL OF DIELECTRIC-BREAKDOWN
IEEE TRANSACTIONS ON ELECTRON DEVICES
1994; 41 (9): 1595-1602
View details for Web of Science ID A1994PE02600014
-
CONTROL OF MMST RTP - REPEATABILITY, UNIFORMITY, AND INTEGRATION FOR FLEXIBLE MANUFACTURING
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING
1994; 7 (2): 202-219
View details for Web of Science ID A1994NR23100012
-
TEMPERATURE-MEASUREMENT IN RAPID THERMAL-PROCESSING USING ACOUSTIC TECHNIQUES
REVIEW OF SCIENTIFIC INSTRUMENTS
1994; 65 (4): 974-976
View details for Web of Science ID A1994NG49400031
-
IN-SITU ACOUSTIC TEMPERATURE TOMOGRAPHY OF SEMICONDUCTOR WAFERS
APPLIED PHYSICS LETTERS
1994; 64 (11): 1338-1340
View details for Web of Science ID A1994NA49600009
-
ELECTRICAL-PROPERTIES OF HEAVILY-DOPED POLYCRYSTALLINE SILICON-GERMANIUM FILMS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1994; 41 (2): 228-232
View details for Web of Science ID A1994NA21300016
- High-performance thin-film transistors fabricated by XeCl excimer laser annealing without post-hydrogenation 1994
-
MODELING ULTRATHIN DIELECTRIC-BREAKDOWN ON CORRELATION OF CHARGE TRAP-GENERATION TO CHARGE-TO-BREAKDOWN
1994 IEEE International Reliability Physics Symposium - 32nd Annual
I E E E. 1994: 136–142
View details for Web of Science ID A1994BA79L00021
-
THIN FILM EFFECTS IN ULTRASONIC WAFER THERMOMETRY
1994 IEEE Ultrasonics Symposium
I E E E. 1994: 1337–1341
View details for Web of Science ID A1994BC66H00268
-
INSITU THIN FILM THICKNESS MEASUREMENT USING ULTRASONICS WAVES
1994 IEEE Ultrasonics Symposium
I E E E. 1994: 1237–1240
View details for Web of Science ID A1994BC66H00248
- Profile Simulation Studies of Oxide Deposition from Ozone/TEOS 1994
- Degradation of LPCVD oxides 1994
- A Multipule Target Sputter System with Enhanced Wafer Uniformity, Lifetime Uniformity, and Wafer Scaleability IEDM Tech. Digest 1994: 549~552
- Correlation of trap-generation and charge-to-breakdown Qbd A Physical-damage Model of Dielectric Breakdown IEEE Trans. Electron Devices 1994; 41 (9): 1595~1602
- Interface -state Generation in Deposited Oxides due to Bias Temperature Stress Extended abstracts, Spring 1994 Meeting of the. Electrochem Society 1994: 179
- Simulation of Tungsten Etchback for Via and Contact Plugs 1994
- Optimization of a Intermetal Dielectric Deposition Module Using Simulation 1994
- RTP Temperature Sensing - Just How Hot Is It? 1994
- Ultrasonic Temperature Measurement in RTP 1994
- Modeling of Ti Physical Vapor Deposition Systems Intnl. Mtg Numerical Modeling of Process and Device for Integrated Ckts: NUPAD-V 1994: 41~44
- Fabrication and Characterization of Polycrystalline Silicon-Germanium Thin-Film Transistors IEEE Transactions on Electron Devices 1994; 41 (9): 1581~1591
- Profile Modeling of Collimated Ti Physical Vapor Deposition 1994
- Modeling Ultrathin Dielectric Breakdown on Correlation of Charge Trap-generation and Charge-to-breakdown 1994
- A Low Thermal Budget Polycrystalline Silicon Thin Film Transistor Using Chemical Mechanical Polishing 1994
- A Vertical Submicron Polysilicon Thin Film Transistors Using a Low Temperature Process IEEE Electron Device Lett. 1994; 15 (10): 415~417
- Rapid Thermal Multiprocessing for Adaptable Manufacturing of ICs IEEE Trans. Semiconductor Manufacturing 1994; 7 (2): 159~175
- In-Situ Acoustic Temperature Tomography of Semiconductor Wafers Applied Physics Letters 1994; 11 (64): 1338~1340
- Electrical Properties of Heavily Doped Polycrystalline Silicon-Germanium Films IEEE Transactions on Electron Devices 1994; 41 (2): 228~232
- Control of MMST RTP: Reproducability, Uniformity, and Integration Flexible Manufacturing IEEE Trans. Semiconductor Manufacturing 1994; 7 (2): 202~219
- Adaptable IC Manufacturing Systems for the 21st Century E-MRS, 1993 Spring Meeting, Strasbourg, May 4-7, 1993. Published in Microelectronic Engineering 1994; 25: 131~137
- 3D Modeling of Rapid Thermal Processors for Design Optimization of a New Flexible RTP System IEEE Int. Electron Dev. Meet. 1994: 545~548
- Modeling, Identification, and Control of Rapid Thermal Processing J. Electrochem. Soc. 1994; 141 (11): 3200~3209
- Deposition and Properties of Low-Pressure Chemical-Vapor Deposited Polycrystalline Silicon-Germanium Films J. Electrochem. Soc. 1994; 141 (8): 2235~2240
- A Simple EEPROM Cell Using Polysilicon Thin Film Transistors IEEE Electron Device Lett. 1994; 15 (8): 304~306
- Low-Thermal-Budget Polycrystalline Silicon-Germanium Thin-Film Transistors Fabricated by Rapid Thermal Annealing Japan Journal of Applied Physics 1994; 33 (8B)
- A twin polysilicon TFT planar EEPROM cell 1994 Nonvolatile Semiconductor Memory Workshop 1994
- Use of Simulation to Optimize Multistep Intermetal Dielectric Deposition from PECVD and Ozone/TEOS APCVD Processes 1994
- Modeling Studies of Mechanisms in Biased ECR CVD 1994
- Dynamic Modeling of Collimator Clogging in Physical Vapor Deposition Systems 1994
-
SIO2 DEGRADATION WITH CHARGE INJECTION POLARITY
IEEE ELECTRON DEVICE LETTERS
1993; 14 (11): 512-514
View details for Web of Science ID A1993MF78300002
-
BACKSCATTERED DEPOSITION IN AR SPUTTER ETCH OF SILICON DIOXIDE
APPLIED PHYSICS LETTERS
1993; 63 (16): 2294-2296
View details for Web of Science ID A1993MC05000045
-
2 PRECURSOR MODEL FOR LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITION OF SILICON DIOXIDE FROM TETRAETHYLORTHOSILICATE
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B
1993; 11 (3): 720-726
View details for Web of Science ID A1993LH22800029
-
CONSTANT CURRENT STRESS BREAKDOWN IN ULTRATHIN SIO2-FILMS
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1993; 140 (3): 770-773
View details for Web of Science ID A1993KT62200044
- Adaptable Manufacturing Systems 1993
-
IN-SITU TEMPERATURE MONITORING IN RTP BY ACOUSTICAL TECHNIQUES
SYMP ON RAPID THERMAL AND INTEGRATED PROCESSING 2, AT THE 1993 SPRING MEETING OF THE MATERIALS RESEARCH SOC
MATERIALS RESEARCH SOC. 1993: 133–138
View details for Web of Science ID A1993BY84M00020
-
CONSTANT-CURRENT STRESS BREAKDOWN IN ULTRATHIN SIO2-FILMS
2nd Symposium on the Physics and Chemistry of the SIO-2 and SI-SIO-2 Interface, at the 181st Meeting of the Electrochemical-Society
PLENUM PRESS DIV PLENUM PUBLISHING CORP. 1993: 447–454
View details for Web of Science ID A1993BZ43Y00056
-
CONFIGURATION AND MANAGEMENT STRATEGIES FOR CLUSTER-BASED FABS
IEEE/SEMI International Semiconductor Manufacturing Science Symposium (ISMSS 93), held in conjunction with SEMICON/WEST 93
I E E E. 1993: 63–68
View details for Web of Science ID A1993BA23U00013
-
IN-SITU ULTRASONIC THERMOMETRY OF SEMICONDUCTOR WAFERS
IEEE 1993 Ultrasonics Symposium
I E E E. 1993: 375–377
View details for Web of Science ID A1993BA31N00069
- Computer Aided Design of Rapid Thermal Processors 1993
- Programmable Factory for Adaptable IC Manufacturing 1993
- Profile Modeling of Diamond CVD Extended Abstracts of Spr. Mtg. of the Electrochem. Soc. 1993
- Programmable Factory for IC Manufacturing for the 21st Century 1993
- In-Situ Temperature Monitoring in RTP by Acoustical Techniques MRS Spring Meeting, San Francicco, MRS Vol. 303 - Rapid Thermal and Integrated Processing 1993; 303
- In-Situ Temperature Monitoring In RTP By Acoustical Techniques MRS Spring Meeting 1993
- Backscattering Deposition in Ar Sputtering of Oxide Appl. Phys. Lett. 1993; 16 (60): 2294~2296
- SiO2degradation with charge injection polarity IEEE Electron Device Lett. 1993; 14 (11)
- In-Situ Acoustic Thermometry Tomography Rapid Thermal Processing Semiconductor Wafers Digest of 1993 IEEE International Electron Device Meeting 1993: 187~190
- Constant Current Stress Breakdown in Ultrathin SiO2Films J. Electrochemical Society 1993; 140 (3): 770~773
- Low Pressure Chemical Vapor Deposition of Si1-x Gex Films 1993
- Constant Current Stress Breakdown in Ultrathin SiO2Films in The Physics and Chemistry of SiO2 and the Si SiO2Interface 2 edited by Helms, C., R., Deal, B., E. Plenum. 1993: 447~454
- Step Coverage Modeling of Physical Vapor Deposition of Ti and WSix 1993
- Thermally Driven In-situ Removal of Native Oxide Using Anhydrous HF 1993
- Performance Evaluation of Adaptable Manufacturing Systems for Semiconductor IC Production 1993
- A novel floating gate spacer polysilicon TFT Digest of 1993 IEEE International Electron Device Meeting 1993: 393-396
- Two Precursor Model for LPCVD of Silicon Dioxide from TEOS J. Vac. Sci. and Tech. B 1993: 720~726
- Real-Time Multi-Zone Temperature Control of Rapid Thermal Processing Semiconductor Device Manufacturing Equipment 1993
- A novel vertical submicron polysilicon TFT 1993
- Hydrogenation of polycrystalline TFTs by ion implantation 1993
- Configuration and Management Strategies for Cluster-Based Fabs 1993
- Profile Modeling of Physical Vapor Deposition of Ti and WSix 1993
- In-Situ Acoustic Thermometry of Semiconductor Wafers 1993
- A Low-Thermal-Budget Polycrystalline Silicon-Germanium Thin-Film Transistor Technology for Large-Area Electronics 1993
-
HIGH-FREQUENCY C-V INVESTIGATION OF METAL-OXIDE SEMICONDUCTOR CAPACITORS PREPARED BY LOW-TEMPERATURE SUBATMOSPHERIC PRESSURE CHEMICAL VAPOR-DEPOSITION OF SIO2-FILMS ON SILICON SUBSTRATES
THIN SOLID FILMS
1992; 217 (1-2): 68-74
View details for Web of Science ID A1992JR30100010
-
RAPID THERMAL-PROCESSING UNIFORMITY USING MULTIVARIABLE CONTROL OF A CIRCULARLY SYMMETRICAL-3 ZONE LAMP
IEEE TRANSACTIONS ON SEMICONDUCTOR MANUFACTURING
1992; 5 (3): 180-188
View details for Web of Science ID A1992JH86000002
- Modeling of PECVD TEOS Oxide Step Coverage Using an Overhang Structure 1992
-
DEVELOPMENT OF DESIGN RULES FOR RELIABLE TUNGSTEN PLUGS USING SIMULATIONS
30TH ANNUAL INTERNATIONAL SYMP ON RELIABILITY PHYSICS
I E E E. 1992: 8–10
View details for Web of Science ID A1992BW01T00003
- Rapid Thermal Processing Uniformity Using Multivariable Control of a Circularly Symmetric 3 Zone Lamp IEEE Trans. Semiconductor Manufacturing 1992; 5 (3): 180~188
- Low-Temperature (<550∞C) Fabrication of Poly-Si TFTs for Large-Area LCDs IEEE Electron Device Letters 1992; 13 (6): 309~311
- Development of Design Rules for Tungsten Plugs Using Simulation 1992
- Performance Driven Scaling of BiCMOS Technology IEEE Transactions on Electron Device 1992; 39 (3): 685~694
- Determination of the Densities of Gap States in Hydrogenated Polycrystalline Si and Si0.8Ge0.2 Films Applied Physics Letters 1992; 6 (61): 672~674
- A 3-Dimensional Model for Low-Pressure Chemical-Vapor-Deposition Step in Trenches and circular Vias J. Appl. Phys. 1992; 11 (70): 7137~7140
- Measurement of Lateral Dopant Diffusion in Thin Silicide Layers IEEE Transactions on Electron Device 1992; 39 (10): 2333~2340
- Adaptable Manufacturing Systems for Microelectronics Manufacturing: Economic and Performance Issues 1992
- Modeling and Simulation of Plasma Enhanced Chemical Vapor Deposition of Silicon Nitride 1992
- A New Methodology for Design of BiCMOS Gates and Comparison with CMOS IEEE Transactions on Electron Device 1992; 39 (2): 339~347
- Rapid Thermal Multeprocessing Using Multivariable Control of a Circularly Symmetric 3 Zone Lamp 1992
-
A 3-DIMENSIONAL MODEL FOR LOW-PRESSURE CHEMICAL-VAPOR-DEPOSITION STEP COVERAGE IN TRENCHES AND CIRCULAR VIAS
JOURNAL OF APPLIED PHYSICS
1991; 70 (11): 7137-7140
View details for Web of Science ID A1991GU04900074
-
TECHNOLOGY LIMITATIONS FOR N+/P+ POLYCIDE GATE CMOS DUE TO LATERAL DOPANT DIFFUSION IN SILICIDE POLYSILICON LAYERS
IEEE ELECTRON DEVICE LETTERS
1991; 12 (12): 696-698
View details for Web of Science ID A1991GR70600018
-
PMOS TRANSISTORS IN LPCVD POLYCRYSTALLINE SILICON-GERMANIUM FILMS
IEEE ELECTRON DEVICE LETTERS
1991; 12 (11): 584-586
View details for Web of Science ID A1991GL47900002
-
A VARIABLE-WORK-FUNCTION POLYCRYSTALLINE-SI1-XGEX GATE MATERIAL FOR SUBMICROMETER CMOS TECHNOLOGIES
IEEE ELECTRON DEVICE LETTERS
1991; 12 (10): 533-535
View details for Web of Science ID A1991GG77400008
-
SIMULATION OF MASS-TRANSPORT FOR DEPOSITION IN VIA HOLES AND TRENCHES
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1991; 138 (6): 1831-1840
View details for Web of Science ID A1991FQ10400057
-
NEW TEST STRUCTURE TO IDENTIFY STEP COVERAGE MECHANISMS IN CHEMICAL VAPOR-DEPOSITION OF SILICON DIOXIDE
APPLIED PHYSICS LETTERS
1991; 58 (19): 2147-2149
View details for Web of Science ID A1991FL31700032
- MBiCMOS:A Device and Circuit Technique Scalable to the Sub-micron, Sub-2V Regime 1991
-
INSITU FILM THICKNESS AND TEMPERATURE MONITORING USING A 2 GHZ ACOUSTIC PHASE MEASUREMENT SYSTEM
1991 ULTRASONICS SYMP
I E E E. 1991: 965–967
View details for Web of Science ID A1991BW50L00187
-
DEMONSTRATION OF MULTIPROCESSING BY SILICON EPITAXY FOLLOWING INSITU CLEANING
SYMP ON RAPID THERMAL AND INTEGRATED PROCESSING
MATERIALS RESEARCH SOC. 1991: 273–278
View details for Web of Science ID A1991BV07P00036
-
PYROMETER MODELING FOR RAPID THERMAL-PROCESSING
CONF ON RAPID THERMAL AND RELATED PROCESSING TECHNIQUES
SPIE - INT SOC OPTICAL ENGINEERING. 1991: 337–348
View details for Web of Science ID A1991BT22H00027
-
NONCONTACTING ACOUSTICS-BASED TEMPERATURE-MEASUREMENT TECHNIQUES IN RAPID THERMAL-PROCESSING
CONF ON RAPID THERMAL AND RELATED PROCESSING TECHNIQUES
SPIE - INT SOC OPTICAL ENGINEERING. 1991: 366–371
View details for Web of Science ID A1991BT22H00030
-
TEMPERATURE UNIFORMITY OPTIMIZATION USING 3-ZONE LAMP AND DYNAMIC CONTROL IN RAPID THERMAL MULTIPROCESSOR
SYMP ON RAPID THERMAL AND INTEGRATED PROCESSING
MATERIALS RESEARCH SOC. 1991: 209–214
View details for Web of Science ID A1991BV07P00028
-
SIMULATIONS OF LPCVD PROFILES
7TH WORKSHOP ON TUNGSTEN AND OTHER ADVANCED METALS FOR ULCI APPLICATIONS
MATERIALS RESEARCH SOC. 1991: 239–247
View details for Web of Science ID A1991BT15L00030
-
SPEEDIE - A PROFILE SIMULATOR FOR ETCHING AND DEPOSITION
CONF ON ADVANCED TECHNIQUES FOR INTEGRATED CIRCUIT PROCESSING
SPIE - INT SOC OPTICAL ENGINEERING. 1991: 126–138
View details for Web of Science ID A1991BT08L00012
- A Variable-Workfunction Polycrystalline-Si1-x Ge-x Gate Material for Submicron CMOS Technologies IEEE Electron Device Letters 1991; 12 (10): 533~535
- A New Test Structure to Identify Step Coverage Mechanisms in CVD SiO2 Applied Physics Letters 1991; 19 (58): 2147~2149
- Modeling the Performance of Cluster-Based Fabs 1991
- Two Precursor Model for LPCVD of Oxide from TEOS 1991
- Merged BiCMOS Logic to Extend the CMOS/BiCMOS Performance Crossover Below 2.5V Supply IEEE J. Solid State Circuits 1991; 26 (11): 1606--1614
- PMOS Transistors in LPCVD Polycrystalline Silicon-Germanium Films IEEE Electron Device Letters 1991; 12 (11): 584~586
- Technology Limitations for N+/P+ Polycide Gate CMOS due to Lateral Dopant Diffusion in Silicides/Polysilicon Layers IEEE Electron Device Letters 1991; 12 (12): 696~698
- A Low Temperature (<550∞C) Germanium-Silicon MOS Thin Film Transistor Technology for Large Area Electronics IEEE International Electron Device Meeting 1991
- Monte Carlo Low Presssure Deposition Profile Simulation J. Vacuum Science and Technology (A) 1991; 3 (9): 1083~1087
- Simulation of Reactive Ion Etching with Surface Re-emission Presented at the 180th meeting of The Electrochem. Soc. 1991
- Dynamics and Control of Rapid Thermal Processing 1991
- Factors Affecting the Economic Performance of Cluster-Based Fabs 1991
- PECVD Oxide Step Coverage Experiment andd Simulation 1991
- Temperature Uniformity Optimization Using Three Zone Lamp and Dynamic Control in A Rapid Thermal Multiprocessor 1991
- Low Temperature In-Situ Native Oxide Removal Using Anhydrous Hydrogen Fluoride 1991
- Silicon Epitaxy Following Low Temperature Gas-Phase Removal of Native Oxide Using Anhydrous Hydrogen Fluoride 1991
- A General Analytical Model for Low Pressure Deposition in 3--D Structures 1991
-
NITRIDATION AND POSTNITRIDATION ANNEALS OF SIO2 FOR ULTRATHIN DIELECTRICS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1990; 37 (8): 1836-1841
View details for Web of Science ID A1990DN85500009
-
THICKNESS LIMITATIONS OF SIO2 GATE DIELECTRICS FOR MOS ULSI
IEEE TRANSACTIONS ON ELECTRON DEVICES
1990; 37 (8): 1884-1892
View details for Web of Science ID A1990DN85500015
-
HOT-CARRIER-DEGRADATION CHARACTERISTICS FOR FLUORINE-INCORPORATED NMOSFETS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1990; 37 (6): 1426-1431
View details for Web of Science ID A1990DG17600004
- Non-Invasive Process Temperature Monitoring Using Laser-Acoustic Technique 1990
-
PROCESS MONITORING TECHNIQUES USING ACOUSTIC-WAVES
IEEE 1990 ULTRASONICS SYMP
I E E E. 1990: 367–369
View details for Web of Science ID A1990BT34L00073
-
RAPID THERMAL MULTIPROCESSING FOR MICRO FACTORIES
CONF ON RAPID ISOTHERMAL PROCESSING
SPIE - INT SOC OPTICAL ENGINEERING. 1990: 2–14
View details for Web of Science ID A1990BQ91R00001
- Pyrometer Modeling for Rapid Thermal Processing 1990
- CVD Modeling Using SPEEDIE 1990
- SPEEDIE: A Profile Simulator for Etching and Deposition Extended Abstracts, SRC Techcon '90 1990: 16~19
- Cost Performance Modeling of Semiconductor Fabs Extended Abstracts, SRC Techcon'90 1990: 309~312
- Characterization of Lateral Dopant Diffusion in Silicides Technical Digest, IEEE International Electron Device Meeting 1990: 245~248
- LPCVD Profile Simulations Using a Re-Emission Model Technical Digest, IEEE International Electron Device Meeting 1990: 917~920
- Characterization of Lateral Dopant Diffusion in Silicides Extended Abstracts, SRC Techcon'90 1990: 455~458
- Modeling and Simulation of Sloped Sidewall Formation with SPEEDIE Extended Abstracts of Fall 1990 Meeting of the Electrochemical Society 1990
- Simulations of LPCVD Profiles 1990
- Sticking Coefficient as a Single Parameter to Characterize Step Coverage of SiO2 Processes 1990
- Economic Impact of Single Wafer Multiprocessors 1990
- SPEEDIE Simulation of Profile Evolution During Etching and Deposition 1990
- A Polycrystalline-Si1-x Ge_x Gated MOS Devices Technical Digest, IEEE International Electron Device Meeting 1990: 253~256
- Characterization of Reactively Sputtered WNx and of a W-WN Bilayer Structure Extended Abstracts of Fall 1990 Meeting of the Electrochemical Society 1990
- Hot-Carrier-Degredation Characteristics for Fluorine-Incorporated nMOSFETs IEEE Transactions on Electron Devices 1990; 37 (6): 1426~1431
- photoacoustic Technique for Thin Film Thickness and Temperature Measurements in Semiconductor Processing Workshop on Tungsten and Other Advanced Metals for ULSI Applications VII 1990
- Noncontacting Acoustic Based Temperature Measurement Technique in Rapid Thermal Processing 1990
- Numerical Simulations of CVD Trench Filling Using a Surface Reaction Coefficient Model 1990
- BiCMOS Gate Performance Optimization Using Unified Delay Model 1990
- Modeling of Submicron Dry Etching Technology Using SUPREM-IV and SPEEDIE 1990
-
HOT-ELECTRON IMMUNITY OF SIO2 DIELECTRICS WITH FLUORINE INCORPORATION
IEEE ELECTRON DEVICE LETTERS
1989; 10 (8): 347-348
View details for Web of Science ID A1989AH26000003
-
HOT-ELECTRON HARDENED SI-GATE MOSFET UTILIZING F-IMPLANTATION - COMMENT
IEEE ELECTRON DEVICE LETTERS
1989; 10 (8): 397-397
View details for Web of Science ID A1989AH26000020
-
SATPOLY - A SELF-ALIGNED TUNGSTEN ON POLYSILICON PROCESS FOR CMOS VLSI APPLICATIONS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1989; 36 (7): 1355-1361
View details for Web of Science ID A1989U953200015
-
THE EFFECT OF FLUORINE IN SILICON DIOXIDE GATE DIELECTRICS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1989; 36 (5): 879-889
View details for Web of Science ID A1989U207500007
-
FUNDAMENTAL FACTORS GOVERNING IMPROVED PERFORMANCE OF AL-SI/TI MULTILAYER METALLIZATION FOR VERY LARGE-SCALE INTEGRATION
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
1989; 7 (3): 1497-1503
View details for Web of Science ID A1989U715300175
- Photo-Acoustic Measurements of Silicon Wafers Processing Temperatures 1989
-
SINGLE WAFER RAPID THERMAL MULTIPROCESSING
SYMP AT THE 1989 SPRING MEETING OF THE MATERIALS RESEARCH SOC : RAPID THERMAL ANNEALING / CHEMICAL VAPOR DEPOSITION AND INTEGRATED PROCESSING
MATERIALS RESEARCH SOC. 1989: 3–13
View details for Web of Science ID A1989BP83V00001
-
THE EFFECT OF POST-GROWTH ANNEALS ON NITROXIDE FILMS
SYMP AT THE 1989 SPRING MEETING OF THE MATERIALS RESEARCH SOC : RAPID THERMAL ANNEALING / CHEMICAL VAPOR DEPOSITION AND INTEGRATED PROCESSING
MATERIALS RESEARCH SOC. 1989: 295–300
View details for Web of Science ID A1989BP83V00039
-
SINGLE WAFER INSITU MULTIPROCESSING
4TH INTERNATIONAL SYMP ON VLSI TECHNOLOGY, SYSTEMS AND APPLICATIONS
I E E E. 1989: 75–78
View details for Web of Science ID A1989BQ37E00016
-
PHOTOACOUSTIC MEASUREMENTS OF SILICON-WAFER PROCESSING TEMPERATURES
1989 SYMP OF THE INST OF ELECTRICAL AND ELECTRONICS ENGINEERS ON ULTRASONICS
I E E E. 1989: 535–538
View details for Web of Science ID A1989BQ92K00095
- Single Wafer In-situ Multiprocessing 1989
- Modeling and Measurement of CVD SiO2 Step Coverage 1989
- Tungsten and Tungsten Shunted Polysilicon Gate Submicron CMOS Technology 1989
- Single Wafer Rapid Thermal Multiprocessing: A New Concept in Manufacturing 1989
- Chinese Microelectronics FASAC Technical Assessment Report (TAR) 4060, Science Application International Corp. 1989
- Temperature Measurement of Silicon Wafers Using Photo-Acoustic Techniques Presented at the Sixteenth Review of the Progress in Quantitative NDE, Brunswick, Maine, July 31~August 5, 1989, Published in Review of Progress in Quantitative Nondestructive Evaluation (Plenum Press, New York, 1989) 1989
- Rapid Thermal Multiprocessing for Micro Factories 1989
- A New BiCMOS/CMOS Gate Comparison Methodology and Supply Voltage Scaling Model IEEE International Electron Device Meeting 1989
-
ELECTRICAL CHARACTERISTICS AND IRRADIATION SENSITIVITY OF IGFETS WITH RAPIDLY GROWN ULTRATHIN GATE DIELECTRICS
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1988: 2438–39
View details for Web of Science ID A1988R026300074
-
DIRECT TUNGSTEN ON SILICON DIOXIDE FORMED BY RF PLASMA-ENHANCED CHEMICAL VAPOR-DEPOSITION
IEEE ELECTRON DEVICE LETTERS
1988; 9 (11): 582-584
View details for Web of Science ID A1988Q608400008
-
LINEARLY RAMPED TEMPERATURE TRANSIENT RAPID THERMAL-OXIDATION OF SILICON
APPLIED PHYSICS LETTERS
1988; 53 (12): 1104-1106
View details for Web of Science ID A1988Q163900028
-
LOW-RESISTANCE SUBMICROMETER CONTACTS TO SILICON
IEEE TRANSACTIONS ON ELECTRON DEVICES
1988; 35 (8): 1328-1333
View details for Web of Science ID A1988P433100018
-
THE DEPOSITION OF N-TYPE AND P-TYPE INSITU DOPED POLYSILICON BY LPCVD
ELECTROCHEMICAL SOC INC. 1988: C377–C377
View details for Web of Science ID A1988P675200421
-
SPECIFIC CONTACT RESISTIVITY MEASUREMENTS OF REACTIVE ION ETCHED CONTACTS
JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A
1988; 6 (3): 1402-1406
View details for Web of Science ID A1988N974800020
-
TWO-DIMENSIONAL THERMAL-OXIDATION OF SILICON .2. MODELING STRESS EFFECTS IN WET OXIDES
IEEE TRANSACTIONS ON ELECTRON DEVICES
1988; 35 (1): 25-37
View details for Web of Science ID A1988L089300005
- Fundamental Factors Governing Improved Performance of Al-Si/Ti Multilayer Metallization for VLSI 1988
- A Complete RLC Transmission Line Model of Interconnect Delay 1988
- Single Wafer In-situ Multiprocessing Semicon Japan Digest of Technical Papers 1988
- Simulation and Measurement of Picosecond Step Responses in VLSI Interconnections Digest of IEEE International Electron Device Meeting 1988: 344~347
- Single Wafer In-situ Multiprocessing SRC Techcon'88 Digest of Technical Papers 1988
- Non-Selective RF Plasma Enhanced Chemical Vapor Deposition of Tungsten Workshop on Tungsten and other Refractory Metals for VLSI Applications 1988
- Modeling of Dopant Diffusion and Redistribution in WSi2/Si Structures Workshop on Metals, Dielectrics, and Interfaces for VLSI 1988
- CVD W Film Stress and Calculation of Stress on p-n Junction Edge Leakage 1988
- Multilayered Interconnections for VLSI 1988
-
SUBMICROMETER IGFET FABRICATION BY RAPID THERMAL-PROCESSING
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1987: 2365–65
View details for Web of Science ID A1987K332800046
-
THE EFFECT OF A SUPERCONDUCTING INTERCONNECT ON CIRCUIT PERFORMANCE
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1987: 2386–87
View details for Web of Science ID A1987K332800100
-
THE EFFECTS OF CHEMICAL OXIDE ON THE DEPOSITION OF TUNGSTEN BY THE SILICON REDUCTION OF TUNGSTEN HEXAFLUORIDE
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1987; 134 (9): 2339-2345
View details for Web of Science ID A1987K066500049
-
FORMATION OF MOS GATES BY RAPID THERMAL MICROWAVE REMOTE-PLASMA MULTIPROCESSING
IEEE ELECTRON DEVICE LETTERS
1987; 8 (9): 421-424
View details for Web of Science ID A1987K040100015
-
THE VIRTUAL WAFER FAB MODELING SYSTEM
ELECTROCHEMICAL SOC INC. 1987: C448–C448
View details for Web of Science ID A1987J647200656
-
SHIPS - HIGH-LEVEL PROCESS SIMULATION FOR VLSI MANUFACTURING
ELECTROCHEMICAL SOC INC. 1987: C447–C447
View details for Web of Science ID A1987J647200642
-
SELECTIVE AND NONSELECTIVE LPCVD OF TUNGSTEN IN A NOVEL RAPID THERMAL-PLASMA REACTOR
ELECTROCHEMICAL SOC INC. 1987: C477–C478
View details for Web of Science ID A1987J647201052
-
THE EFFECTS OF CHEMICAL OXIDE ON THE DEPOSITION OF TUNGSTEN BY THE SILICON REDUCTION OF TUNGSTEN HEXAFLUORIDE
ELECTROCHEMICAL SOC INC. 1987: C477–C477
View details for Web of Science ID A1987J647201045
-
INTERFACIAL AND BREAKDOWN CHARACTERISTICS OF MOS DEVICES WITH RAPIDLY GROWN ULTRATHIN SIO2 GATE INSULATORS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1987; 34 (6): 1407-1410
View details for Web of Science ID A1987H779400024
-
TWO-DIMENSIONAL THERMAL-OXIDATION OF SILICON .1. EXPERIMENTS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1987; 34 (5): 1008-1017
View details for Web of Science ID A1987H425600009
-
INTERCONNECTION AND ELECTROMIGRATION SCALING THEORY
IEEE TRANSACTIONS ON ELECTRON DEVICES
1987; 34 (3): 633-643
View details for Web of Science ID A1987G468400020
-
MODELING AND MEASUREMENT OF CONTACT RESISTANCES
IEEE TRANSACTIONS ON ELECTRON DEVICES
1987; 34 (3): 512-524
View details for Web of Science ID A1987G468400003
-
SPECIAL ISSUE ON INTERCONNECTIONS FOR CONTACTS FOR VLSI - FOREWORD
IEEE TRANSACTIONS ON ELECTRON DEVICES
1987; 34 (3): 501-502
View details for Web of Science ID A1987G468400001
- The Effects of Chemical Oxide on the Deposition of Tungsten by the Silicon Reduction of Tungsten Hexaflouride 1987
- Technology and Modeling of Submicron Contacts 1987
- The Effect of Fluorine on Gate Dielectric Properties Digest of IEEE Int. Electron Device Meeting 1987: 574~577
- SHIPS: High-level Process Simulation for VLSI Manufacturing 1987
- In-situ MOS Gate Engineering in a Novel Rapid Thermal/Plasma Multiprocessing Reactor 1987
- VLSI Interconnections Technology, Present and Future 1987
- Technology and Modeling of Submicron Contacts 1987
- Specific Contact Resistivity of RIE Etched Contacts J. Vac. Sci. Tech. 1987; 3 (A6): 1402~1406
- Manufacturing Technology Modeling 1987
-
A TWO-DIMENSIONAL ANALYTICAL MODEL OF THE CROSS-BRIDGE KELVIN RESISTOR
IEEE ELECTRON DEVICE LETTERS
1986; 7 (12): 661-663
View details for Web of Science ID A1986F062100005
-
THE SIDEWALL RESISTOR - A NOVEL TEST STRUCTURE TO RELIABLY EXTRACT SPECIFIC CONTACT RESISTIVITY
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1986: 1855–56
View details for Web of Science ID A1986E351000084
-
THE SIDEWALL RESISTOR - A NOVEL TEST STRUCTURE TO RELIABLY EXTRACT SPECIFIC CONTACT RESISTIVITY
IEEE ELECTRON DEVICE LETTERS
1986; 7 (8): 477-479
View details for Web of Science ID A1986D405700007
-
A PHYSICAL MODEL FOR SI OXIDATION-KINETICS IN THE THICKNESS RANGE FROM 30A TO 1 MU-M
ELECTROCHEMICAL SOC INC. 1986: C101–C101
View details for Web of Science ID A1986A435800199
-
AN ACCURATE METHOD TO EXTRACT SPECIFIC CONTACT RESISTIVITY USING CROSS-BRIDGE KELVIN RESISTORS - REPLY
IEEE ELECTRON DEVICE LETTERS
1986; 7 (2): 142-144
View details for Web of Science ID A1986AYZ2800031
- Rapid Thermal Oxidation of Silicon 1986
- Interconnections for VLSI 1986
- Measurement and Extraction of Specific Contact Resistivity 1986
- Modeling Dopant Redistribution in SiO2/WSi2/Si Structure Digest of IEEE Int. Electron Device Meeting 1986: 534~537
- Comparison of Test Structures used for the Measurement of Low Resistive Metal-Semiconductor Contacts IEEE VLSI Workshop on Test Structures 1986: 7~23
- Rapid thermal oxidation and nitridation of silicon 1986
- Rapid Thermal Growth of Thin Insulators on Silicon Presented at the SPIE's O-E/LASE '86 1986
-
CHARACTERIZATION OF THERMALLY NITRIDED SIO2 USING AUGER SPUTTER PROFILING
A V S AMER INST PHYSICS. 1985: 804–5
View details for Web of Science ID A1985AKX4900092
- Thermal and Microwave Nitrogen Plasma Nitridation Techniques for Ultrathin Gate Insulators of MOS VLSI 1985
- Refractory Metals and Silicides for VLSI Applications 1985
- 2-D Simulations for Accurate Extraction of the Specific Contact Resistivity from Contact Resistance Data Digest of IEEE International Electron Device Meeting 1985: 586~589
- Proc. International Symposium on VLSI Low Temperature Direct Nitridation of Silicon in Nitrogen Plasma Generated by Microwave Discharge 1985: 286~290
- Rapid Thermal Nitridation of Si and SiO2 in Ammonia 1985
- Two-Dimensional Silicon Oxidation Experiments and Theory Digest of IEEE Electron Device Meeting 1985: 388~391
- Analysis and Scalings for Extraction of Specific Contact Resistivity IEEE Electron Device Letters 1985; EDL-6: 105~108
- Low Temperature Nitridation of Silicon in Microwave Nitrogen Plasma The 167th Meeting of the Electrochemical Society 1985
- Hydrogenation by Ion Implantation IEEE Electron Dev. Lett. 1985; EDL-6 (3): 139~141
- Formation of 0.1 1 mm N+/P and P+/N Junctions by Doped Silicide Technology Digest of IEEE Int. Electron Device Meeting 1985: 407~410
- Use of Silicides Obtained by CVD in VLS Presented at the Workshop on Silicides 1985
- Application of Tungsten Silicide/N+ Polysilicon Technology for VLSI 1985
- Homogeneous and Layered Films of Al/Si with Ti for Multilevel Interconnections 1985
- Rapid Thermal Nitridation of SiO2 for Nitroxide Thin Dielectrics 1985
- Material Studies of Silicon Nitride Films Grown in Microwave Nitrogen Plasma 1985
- Microstructural Characterization of LPCVD Tungsten Interfaces edited by Blewer, R., S. 1985
-
ANALYSIS AND SCALING OF KELVIN RESISTORS FOR EXTRACTION OF SPECIFIC CONTACT RESISTIVITY
IEEE ELECTRON DEVICE LETTERS
1985; 6 (3): 105-108
View details for Web of Science ID A1985ADH2100001
-
LAYERED AND HOMOGENEOUS FILMS OF ALUMINUM AND ALUMINUM SILICON WITH TITANIUM AND TUNGSTEN FOR MULTILEVEL INTERCONNECTS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1985; 32 (2): 174-183
View details for Web of Science ID A1985ACP5900013
-
THIN SIO2 INSULATORS GROWN BY RAPID THERMAL-OXIDATION OF SILICON
APPLIED PHYSICS LETTERS
1985; 47 (12): 1353-1355
View details for Web of Science ID A1985AWJ3100044
-
CURRENT CROWDING EFFECTS AND DETERMINATION OF SPECIFIC CONTACT RESISTIVITY FROM CONTACT END RESISTANCE (CER) MEASUREMENTS
IEEE ELECTRON DEVICE LETTERS
1985; 6 (12): 639-641
View details for Web of Science ID A1985AWB3600009
-
COMPOSITIONAL STUDIES OF THERMALLY NITRIDED SILICON DIOXIDE (NITROXIDE)
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1985; 132 (9): 2189-2197
View details for Web of Science ID A1985AQD2300031
-
TIME-DEPENDENT COMPOSITIONAL VARIATION IN SIO2-FILMS NITRIDED IN AMMONIA
APPLIED PHYSICS LETTERS
1985; 46 (7): 641-643
View details for Web of Science ID A1985AEF9100010
-
THERMAL NITRIDATION OF SI AND SIO2 FOR VLSI
IEEE JOURNAL OF SOLID-STATE CIRCUITS
1985; 20 (1): 26-43
View details for Web of Science ID A1985ACF1300006
-
THERMAL NITRIDATION OF SI AND SIO2 FOR VLSI
IEEE TRANSACTIONS ON ELECTRON DEVICES
1985; 32 (2): 106-123
View details for Web of Science ID A1985ACP5900006
-
MODELING AND CHARACTERIZATION OF DOPANT REDISTRIBUTIONS IN METAL AND SILICIDE CONTACTS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1985; 32 (4): 793-799
View details for Web of Science ID A1985AFC2200010
-
THE ROLE OF STRESS IN TWO-DIMENSIONAL SILICON OXIDATION
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1985: 2530–31
View details for Web of Science ID A1985AUQ5900061
-
AN ACCURATE METHOD TO EXTRACT SPECIFIC CONTACT RESISTIVITY USING CROSS-BRIDGE KELVIN RESISTORS
IEEE ELECTRON DEVICE LETTERS
1985; 6 (9): 441-443
View details for Web of Science ID A1985AQG0500001
-
LAYERED AND HOMOGENEOUS FILMS OF ALUMINUM AND ALUMINUM SILICON WITH TITANIUM AND TUNGSTEN FOR MULTILEVEL INTERCONNECTS
IEEE JOURNAL OF SOLID-STATE CIRCUITS
1985; 20 (1): 94-103
View details for Web of Science ID A1985ACF1300013
-
A VLSI-SUITABLE SCHOTTKY-BARRIER CMOS PROCESS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1985; 32 (2): 194-202
View details for Web of Science ID A1985ACP5900015
-
A VLSI-SUITABLE SCHOTTKY-BARRIER CMOS PROCESS
IEEE JOURNAL OF SOLID-STATE CIRCUITS
1985; 20 (1): 114-122
View details for Web of Science ID A1985ACF1300015
-
RAPID THERMAL NITRIDATION OF SIO2 FOR NITROXIDE THIN DIELECTRICS
APPLIED PHYSICS LETTERS
1985; 47 (10): 1113-1115
View details for Web of Science ID A1985ATX6500037
-
ANNEALING OF OXIDE FIXED CHARGES IN SCALED POLYSILICON GATE MOS STRUCTURES
IEEE TRANSACTIONS ON ELECTRON DEVICES
1985; 32 (5): 918-925
View details for Web of Science ID A1985AFM4500010
-
HYDROGENATION BY ION-IMPLANTATION FOR SCALED SOI/PMOS TRANSISTORS
IEEE ELECTRON DEVICE LETTERS
1985; 6 (3): 139-141
View details for Web of Science ID A1985ADH2100013
-
LOW-TEMPERATURE DIRECT NITRIDATION OF SILICON IN NITROGEN PLASMA GENERATED BY MICROWAVE-DISCHARGE
JOURNAL OF APPLIED PHYSICS
1985; 58 (6): 2416-2419
View details for Web of Science ID A1985AQH7800052
-
SELECTIVE CVD OF TUNGSTEN FOR VLSI TECHNOLOGY
ELECTROCHEMICAL SOC INC. 1984: C86–C86
View details for Web of Science ID A1984SG56000122
- Studies of Trapping and Conduction in Ultrathin SiO2 Gate Insulators 1984
- High Performance Latchup Free CMOS 1984
- Thermal Oxidation of Silicides Journal of Applied Physics 1984; 7 (56): 2127~2132
- Ultrathin Thermal Silicon Nitride and Nitroxide Insulators for VLSI 1984
- Al Alloys with Ti, W and Cu for Multilayer Interconnections 1984
-
DEPOSITION PARAMETERS AND CHARACTERISTICS OF LOW-PRESSURE CVD TUNGSTEN SILICIDE
ELECTROCHEMICAL SOC INC. 1984: C93–C93
View details for Web of Science ID A1984SG56000213
-
PROPERTIES AND DEPOSITION OF LOW-PRESSURE CVD TUNGSTEN-SILICON FILMS
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1984: 1965–66
View details for Web of Science ID A1984TV47100066
-
ACCURATE BARRIER MODELING OF METAL AND SILICIDE CONTACTS
IEEE ELECTRON DEVICE LETTERS
1984; 5 (5): 145-147
View details for Web of Science ID A1984SR36300005
-
HYDROGENATION BY ION-IMPLANTATION FOR VLSI/SOI APPLICATIONS
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1984: 1981–82
View details for Web of Science ID A1984TV47100108
-
DIFFICULTIES WITH THE LAG-TIME MEASUREMENT OF OXYGEN DIFFUSION IN THERMALLY GROWN SIO2
ELECTROCHEMICAL SOC INC. 1984: C318–C318
View details for Web of Science ID A1984TD94300537
-
CONTACT RESISTANCE OF LPCVD-W/AL AND PTSI/W/AL METALLIZATION
IEEE ELECTRON DEVICE LETTERS
1984; 5 (6): 209-211
View details for Web of Science ID A1984ST32700010
-
CVD TUNGSTEN - A SOLUTION FOR THE POOR STEP COVERAGE AND HIGH CONTACT RESISTANCE OF ALUMINUM
SOLID STATE TECHNOLOGY
1984; 27 (4): 313-314
View details for Web of Science ID A1984SN04900016
-
THERMAL-OXIDATION OF SILICIDES
JOURNAL OF APPLIED PHYSICS
1984; 56 (7): 2127-2132
View details for Web of Science ID A1984TJ62700036
-
ALUMINUM-ALLOYS WITH TITANIUM, TUNGSTEN, AND COPPER FOR MULTILAYER INTERCONNECTIONS
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1984: 1965–65
View details for Web of Science ID A1984TV47100065
-
PROPERTIES OF LOW-PRESSURE CVD TUNGSTEN SILICIDE FOR MOS VLSI INTERCONNECTIONS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1983; 30 (11): 1497-1505
View details for Web of Science ID A1983RT38000009
- Scaling of SOI/PMOS Transistors Technical Digest of IEEE International Electron Device Meeting 1983: 67~69
- Refractory Materials for Interconnections in VLSI 1983
- Annealing of Oxide Fixed Changes in Scaled Polysilicon Gate MOS Structures 1983
- Electrical Characteristics of Devices Fabricated with Ultrathin Thermally-Grown Silicon Nitride and Nitroxide Gate Insulators 1983
-
THERMAL NITRIDATION OF SILICON IN A COLD WALL REACTOR
ELECTROCHEMICAL SOC INC. 1983: C79–C79
View details for Web of Science ID A1983QF82600172
-
PROPERTIES OF LOW-PRESSURE CVD TUNGSTEN SILICIDE AS RELATED TO IC PROCESS REQUIREMENTS
SOLID STATE TECHNOLOGY
1983; 26 (4): 183-186
View details for Web of Science ID A1983QP30500010
-
DIFFUSION OF ARSENIC IN POLYCRYSTALLINE SILICON
APPLIED PHYSICS LETTERS
1982; 40 (9): 795-798
View details for Web of Science ID A1982NM85300015
- Thermal Oxidation of Phosphorus Doped Polycrystalline Silicon Journal Electrochemical Society 1982; 129: 2321~2326
- Effect of Interconnection Scaling on Time Delay of VLSI Circuits IEEE Transaction Electron Devices 1982; ED-29: 645~650
- Refractory Metal Silicides for Interconnections in VLSI American Physics Society 1982
- Thermal Oxidation of Tantalum Silicide in O2 and H2O Applied Physics Letters 1982; 41 (12): 1127~1129
-
EFFECT OF SCALING OF INTERCONNECTIONS ON THE TIME-DELAY OF VLSI CIRCUITS
IEEE TRANSACTIONS ON ELECTRON DEVICES
1982; 29 (4): 645-650
View details for Web of Science ID A1982NN06700030
-
THERMAL-OXIDATION OF HEAVILY PHOSPHORUS-DOPED THIN-FILMS OF POLYCRYSTALLINE SILICON
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1982; 129 (10): 2321-2326
View details for Web of Science ID A1982PJ51800037
-
THERMAL-OXIDATION OF HEAVILY DOPED POLYCRYSTALLINE SILICON THIN-FILMS
ELECTROCHEMICAL SOC INC. 1981: C103–C103
View details for Web of Science ID A1981LF08600329
- Circuit Scaling Limits for Ultra-Large-Scale Integration 1981
- Physical and Electrical Properties of Polycrystalline Silicon Thin Films 1981
- The Process Dependence of the Electrical Resistivity of LPCVD Polycrystalline Silicon Films 1981
- Thermal Oxidation of Tantalum Silicide Deposited by Cosputtering 1981
- Thermal Oxidation of Heavily Doped Polycrystalline Silicon Thin Films Extended Abstracts of the Spring Meeting of Electrochemical Society 1981; 81-1
- WSi2 Interconnections for VLSI 1981
-
N-CHANNEL MOSFETS WITH WSI2 GATE
ELECTRON DEVICE LETTERS
1981; 2 (2): 24-25
View details for Web of Science ID A1981LJ20200002
-
WSI, INTERCONNECTIONS FOR VERY-LARGE-SCALE INTEGRATED-CIRCUITS
ELSEVIER SCIENCE SA LAUSANNE. 1981: 143–44
View details for Web of Science ID A1981MN67600002
-
A MODEL FOR CONDUCTION IN POLYCRYSTALLINE SILICON - .1. THEORY
IEEE TRANSACTIONS ON ELECTRON DEVICES
1981; 28 (10): 1163-1171
View details for Web of Science ID A1981MJ38500007
-
A MODEL FOR CONDUCTION IN POLYCRYSTALLINE SILICON - .2. COMPARISON OF THEORY AND EXPERIMENT
IEEE TRANSACTIONS ON ELECTRON DEVICES
1981; 28 (10): 1171-1176
View details for Web of Science ID A1981MJ38500008
-
ARSENIC SEGREGATION IN POLYCRYSTALLINE SILICON
APPLIED PHYSICS LETTERS
1980; 36 (8): 683-685
View details for Web of Science ID A1980JR53100027
- A Monolithic Integrated Circuit Fabricated in Laser-Annealed Polysilicon IEEE Transaction Electron Devices 1980; ED-27: 290~293
- WSi2 Gate MOS Technology 8th International Vacuum Congress 1980
- Effect of Temperature and Substrate on the Steam Oxidation Mechanism of Thin WSi2 Films Extended Abstracts of 157th Meeting of Electrochemical Society 1980: 422~424
- Formation of WSi2 of Tungsten on Silicon Extended Abstracts of the 157th Meeting of Electrochemical Society 1980: 419~421
- A High Voltage MOSFET in Polycrystalline Silicon IEEE Transactions Electron Devices 1980; ED-27: 293--295
- Thermal Oxidation of Sputtered thin Films of WSi2 1980
- Tungsten Silicide for MOS Gates and Low Resistivity Interconnections AIME, Ithaca, Cornell University, June 1980, The 22nd Electronics Materials Conference. 1980
-
MONOLITHIC INTEGRATED-CIRCUIT FABRICATED IN LASER-ANNEALED POLYSILICON
IEEE TRANSACTIONS ON ELECTRON DEVICES
1980; 27 (1): 290-293
View details for Web of Science ID A1980JJ64200044
-
OXIDATION STUDIES OF WSI2 AND PDSI FORMED BY SCANNED LASER-BEAM REACTION
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1980: 2199–2200
View details for Web of Science ID A1980KR10800094
-
EFFECT OF ANNEALING ON THE ELECTRICAL-PROPERTIES OF POLYCRYSTALLINE SILICON
ELECTROCHEMICAL SOC INC. 1980: C386–C386
View details for Web of Science ID A1980KE62400484
-
WORK FUNCTION OF WSI2
ELECTRON DEVICE LETTERS
1980; 1 (2): 18-19
View details for Web of Science ID A1980JR46900002
-
PROPERTIES OF SPUTTERED TUNGSTEN SILICIDE FOR MOS INTEGRATED-CIRCUIT APPLICATIONS
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1980; 127 (2): 450-454
View details for Web of Science ID A1980JE21500036
-
HIGH-VOLTAGE MOSFET IN POLYCRYSTALLINE SILICON
IEEE TRANSACTIONS ON ELECTRON DEVICES
1980; 27 (1): 293-295
View details for Web of Science ID A1980JJ64200045
-
FORMATION OF WSI2 BY CVD OF TUNGSTEN ON SILICON
ELECTROCHEMICAL SOC INC. 1980: C95–C95
View details for Web of Science ID A1980JJ15600210
-
STUDIES OF STEAM-OXIDIZED WSI2 BY AUGER SPUTTER PROFILING
APPLIED PHYSICS LETTERS
1980; 37 (3): 305-307
View details for Web of Science ID A1980KE04200021
-
DOPANT SEGREGATION IN POLYCRYSTALLINE SILICON
JOURNAL OF APPLIED PHYSICS
1980; 51 (11): 5755-5763
View details for Web of Science ID A1980KX90100026
-
PHOSPHORUS DOPING OF LOW-PRESSURE CHEMICALLY VAPOR-DEPOSITED SILICON FILMS
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1979; 126 (6): 1019-1023
View details for Web of Science ID A1979GX87400034
- Physical Properties of Steam Oxidized WSi2 1979
- Thin Film MOSFET Fabricated in Laser-Annealed Polycrystalline Silicon Journal Applied Physics Letters 1979; 35: 173~175
- Effect of Annealing on the Properties of Thin Films of WSi2 Extended Abstracts of the Spring 1979 Meeting of the Electrochemical Society 1979; 79-1 (144)
- A Model for Dopant Incorporation into Growing Si Epitaxial Films: I Theory Journal of Electrochem Society 1979; 126 (4): 644~652
- Thermal Oxidation of Sputtered Thin Films of WSi2 Extended Abstracts of the Fall 1979 Meeting of the Electrochemical Society 1979; 79-2 (393)
- WSi2 Gate MOS Devices Technical Digest of the International Electron Device Meeting 1979
- Dopant Segregation in Polycrystalline Silicon Extended Abstracts of the Fall 1979 Meeting of the Electrochem Society 1979; 79-2 (571)
- A Model for Dopand Incorporation into Growing Si Epitaxial Films: II. Comparison of Theory and Experiment Journal Electrochemical Society 1979; 125 (4): 653~660
-
THIN-FILM MOSFETS FABRICATED IN LASER-ANNEALED POLYCRYSTALLINE SILICON
APPLIED PHYSICS LETTERS
1979; 35 (2): 173-175
View details for Web of Science ID A1979HF61000032
-
APPLICATION OF AC TECHNIQUES TO THE STUDY OF LITHIUM DIFFUSION IN WO3 THIN-FILMS
ELECTROCHEMICAL SOC INC. 1979: C123–C123
View details for Web of Science ID A1979GM16900179
-
KINETICS OF THE THERMAL-OXIDATION OF WSI2
APPLIED PHYSICS LETTERS
1979; 35 (7): 529-531
View details for Web of Science ID A1979HP29100021
-
MODEL FOR DOPANT INCORPORATION INTO GROWING SILICON EPITAXIAL-FILMS .2. COMPARISON OF THEORY AND EXPERIMENT
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1979; 126 (4): 653-660
View details for Web of Science ID A1979GQ48300027
-
INITIAL TRANSIENTS IN THE SI DEPOSITION PROCESS
ELECTROCHEMICAL SOC INC. 1979: C368–C368
View details for Web of Science ID A1979HH39300594
-
SILICIDE FORMATION USING A CW SCANNED ELECTRON-BEAM
ELECTROCHEMICAL SOC INC. 1979: C348–C348
View details for Web of Science ID A1979HH39300417
-
SILICIDE FORMATION BY LASER-HEATING OF SPUTTERED REFRACTORY-METAL FILMS ON SILICON
ELECTROCHEMICAL SOC INC. 1979: C124–C124
View details for Web of Science ID A1979GM16900182
-
MODEL FOR DOPANT INCORPORATION INTO GROWING SILICON EPITAXIAL-FILMS .1. THEORY
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1979; 126 (4): 644-652
View details for Web of Science ID A1979GQ48300026
-
DOPANT SEGREGATION IN POLYCRYSTALLINE SILICON
ELECTROCHEMICAL SOC INC. 1979: C369–C369
View details for Web of Science ID A1979HH39300602
-
STRUCTURE AND STABILITY OF LOW-PRESSURE CHEMICALLY VAPOR-DEPOSITED SILICON FILMS
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1978; 125 (6): 927-932
View details for Web of Science ID A1978FB39400025
- Model for Dopant Incorporation into Silicon Epitaxial Films 1978
- Doping of Low-Pressure Chemically-Vapor-Deposited Silicon Films Fall 1978 Meeting of the Electrochemical Society 1978
- A Model for Dopant Incorporation into Silicon Epitaxial Films Extended Abstracts of the Spring 1978 Meeting of the Electrochemical Society 1978; 78-1 (208)
-
BORON DIFFUSIVITY IN 100 AND 111 SILICON UNDER OXIDATION CONDITIONS - STUDY OF OXIDATION-ENHANCED DIFFUSIVITY
IEEE-INST ELECTRICAL ELECTRONICS ENGINEERS INC. 1978: 1358–58
View details for Web of Science ID A1978FU75100078
-
TRANSIENT AND STEADY-STATE RESPONSE OF DOPANT SYSTEM OF A SILICON EPITAXIAL REACTOR - TRANSFER-FUNCTION APPROACH
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1978; 125 (11): 1860-1866
View details for Web of Science ID A1978FR33000028
-
MODEL FOR DOPANT INCORPORATION INTO SILICON EPITAXIAL-FILMS
ELECTROCHEMICAL SOC INC. 1978: C135–C135
View details for Web of Science ID A1978ER45300243
-
BREAKDOWN WALKOUT IN PLANAR P-N-JUNCTIONS
SOLID-STATE ELECTRONICS
1978; 21 (6): 813-819
View details for Web of Science ID A1978FB30200003
-
THERMOPHORETIC DEPOSITION OF SMALL PARTICLES IN MCVD PROCESS
ELECTROCHEMICAL SOC INC. 1978: C469–C469
View details for Web of Science ID A1978FR33000045
-
EPITAXIAL SILICON GROWTH ON ION-IMPLANTED SILICON
ELECTROCHEMICAL SOC INC. 1977: C106–C107
View details for Web of Science ID A1977CX80400149
- Low Temperature Diffusion of Boron from Diborane Using Carbon Dioxide as Oxidant J. Electrochemical Society 1977; 124 (3): 471~472
- The Need of Process Models in an Ubiquitous Technology The Electrochemical Society, Inc. 1977: 894~909
- Silicon Epitaxy and Oxidation edited by Van de Wiele, F., Engl, W., L., Jaspers, P., G. Noordhoff-Leyden. 1977: 57~113
-
LOW-TEMPERATURE DIFFUSION OF BORON FROM DIBORANE USING CARBON-DIOXIDE AS OXIDANT
JOURNAL OF THE ELECTROCHEMICAL SOCIETY
1977; 124 (3): 471-472
View details for Web of Science ID A1977CX80400032
-
TRANSIENT AND STEADY-STATE RESPONSE OF DOPANT SYSTEM OF AN EPITAXIAL REACTOR - GROWTH-RATE DEPENDENCE
ELECTROCHEMICAL SOC INC. 1977: C308–C309
View details for Web of Science ID A1977DQ55000388
-
IC PROCESS ENGINEERING MODELS AND APPLICATIONS
ELECTROCHEMICAL SOC INC. 1977: C119–C119
View details for Web of Science ID A1977CX80400264
-
NEW BIPOLAR PROCESS - BORSENIC
IEEE JOURNAL OF SOLID-STATE CIRCUITS
1976; 11 (4): 495-500
View details for Web of Science ID A1976CA04900011
- A New Bipolar Process - Borsenic IEEE Journal of Solid State Circuits 1976; SC-11: 495~499
-
TRANSIENT-RESPONSE OF DOPANT INCORPORATION INTO SILICON EPITAXIAL-FILMS
ELECTROCHEMICAL SOC INC. 1976: C261–C261
View details for Web of Science ID A1976BZ76700265
-
HIGH-VOLTAGE MOS SWITCH
IEEE JOURNAL OF SOLID-STATE CIRCUITS
1975; SC10 (3): 136-142
View details for Web of Science ID A1975AE10500003
- Borsenic Bipolar Process Technical Digest of the International Electron Device Meeting, Washington D.C. 1975: 437~439
- A High Voltage MOS Switch IEEE Journal of Solid-State Circuits 1975; SC-10: 136~142
- H.V. Silicon-Gate MOS Integrated Circuits for Driving Piezoelectric Tactile Displays ISSCC Digest of Technical Papers, ISSCC 1974: 164~165
- Interface Engineering for High-k/Si and High-k/Ge Structures
- Polycrystalline Silicon-Germanium for CMOS and TFT Applications
- Strain Enhanced High Efficiency Germanium Photodetectors in the Near Infrared for Integration with Si Optics Letters
- Germanium Nanodevices and Technology In Advanced Gate Stacks for High-Mobility Semiconductors edited by Dimoulas, A., Gusev, E., McIntyre, P. Springer-Verlag, London.. : 1