Bio


The research in the Bent laboratory is focused on understanding and controlling surface and interfacial chemistry and applying this knowledge to a range of problems in semiconductor processing, micro- and nano-electronics, nanotechnology, and sustainable and renewable energy. Much of the research aims to develop a molecular-level understanding in these systems, and hence the group uses of a variety of molecular probes. Systems currently under study in the group include functionalization of semiconductor surfaces, mechanisms and control of atomic layer deposition, molecular layer deposition, nanoscale materials for light absorption, interface engineering in photovoltaics, catalyst and electrocatalyst deposition.

Academic Appointments


Honors & Awards


  • ALD (Atomic Layer Deposition) 2021 Innovator Award, American Vacuum Society (2021)
  • Braskem Award for Excellence in Materials Engineering and Science, American Institute of Chemical Engineers (2021)
  • Member, National Academy of Engineering (2020)
  • SRC Technical Excellence Award, Semiconductor Research Corporation (2020)
  • ACS Award in Surface Chemistry, American Chemical Society (2018)
  • Bert and Candace Forbes University Fellow in Undergraduate Education, Stanford University (2013)
  • Fellow, American Chemical Society (2013)
  • Stanford Medal for Faculty Excellence Fostering Undergraduate Research, Stanford University (2013)
  • Jagdeep and Roshni Singh Chair, School of Engineering (2012)
  • Fellow, World Technology Network (2011)
  • Award for Excellence in Undergraduate Teaching, Tau Beta Pi (2006)
  • Fellow, AVS (2006)
  • Coblentz Award, The Coblentz Society (2001)
  • Peter Mark Memorial Award, American Vacuum Society (2000)
  • Camille Dreyfus Teacher-Scholar, The Camille Dreyfus Teacher-Scholar Awards Program (1998)
  • Research Corporation Cottrell Scholar, Research Corporation (1998)
  • Terman Faculty Fellow, Stanford University (1998)
  • Beckman Young Investigator, Arnold and Mabel Beckman Foundation (1997)
  • CAREER Award, National Science Foundation (1995)

Professional Education


  • PhD, Stanford University, Chemistry (1992)
  • BS, U.C. Berkeley, Chemical Engineering (1987)

2024-25 Courses


Stanford Advisees


All Publications


  • Deconvoluting Effects of Lithium Morphology and SEI Stability at Moderate Current Density Using Interface Engineering ADVANCED MATERIALS INTERFACES Shuchi, S., Oyakhire, S. T., Zhang, W., Sayavong, P., Ye, Y., Chen, Y., Yu, Z., Cui, Y., Bent, S. F. 2024
  • Enhanced nucleation mechanism in ruthenium atomic layer deposition: Exploring surface termination and precursor ligand effects with RuCpEt(CO)<sub>2</sub> JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Rothman, A., Seo, S., Woodruff, J., Kim, H., Bent, S. F. 2024; 42 (5)

    View details for DOI 10.1116/6.0003775

    View details for Web of Science ID 001294077900002

  • Unveiling the Stability of Encapsulated Pt Catalysts Using Nanocrystals and Atomic Layer Deposition. Journal of the American Chemical Society Liccardo, G., Cendejas, M. C., Mandal, S. C., Stone, M. L., Porter, S., Nhan, B. T., Kumar, A., Smith, J., Plessow, P. N., Cegelski, L., Osio-Norgaard, J., Abild-Pedersen, F., Chi, M., Datye, A. K., Bent, S. F., Cargnello, M. 2024

    Abstract

    Platinum exhibits desirable catalytic properties, but it is scarce and expensive. Optimizing its use in key applications such as emission control catalysis is important to reduce our reliance on such a rare element. Supported Pt nanoparticles (NPs) used in emission control systems deactivate over time because of particle growth in sintering processes. In this work, we shed light on the stability against sintering of Pt NPs supported on and encapsulated in Al2O3 using a combination of nanocrystal catalysts and atomic layer deposition (ALD) techniques. We find that small amounts of alumina overlayers created by ALD on preformed Pt NPs can stabilize supported Pt catalysts, significantly reducing deactivation caused by sintering, as previously observed by others. Combining theoretical and experimental insights, we correlate this behavior to the decreased propensity of oxidized Pt species to undergo Ostwald ripening phenomena because of the physical barrier imposed by the alumina overlayers. Furthermore, we find that highly stable catalysts can present an abundance of under-coordinated Pt sites after restructuring of both Pt particles and alumina overlayers at a high temperature (800 °C) in C3H6 oxidation conditions. The enhanced stability significantly improves the Pt utilization efficiency after accelerated aging treatments, with encapsulated Pt catalysts reaching reaction rates more than two times greater than those of a control supported Pt catalyst.

    View details for DOI 10.1021/jacs.4c06423

    View details for PubMedID 39137357

  • HfO<sub>2</sub> Area-Selective Atomic Layer Deposition with a Carbon-Free Inhibition Layer CHEMISTRY OF MATERIALS Lee, Y., Seo, S., Shearer, A. B., Werbrouck, A., Kim, H., Bent, S. F. 2024
  • Erratum: "Understanding chemical and physical mechanisms in atomic layer deposition" [J. Chem. Phys. 152, 040902 (2020)]. The Journal of chemical physics Richey, N. E., de Paula, C., Bent, S. F. 2024; 160 (8)

    View details for DOI 10.1063/5.0202455

    View details for PubMedID 38407291

  • Recovery of isolated lithium through discharged state calendar ageing. Nature Zhang, W., Sayavong, P., Xiao, X., Oyakhire, S. T., Shuchi, S. B., Vilá, R. A., Boyle, D. T., Kim, S. C., Kim, M. S., Holmes, S. E., Ye, Y., Li, D., Bent, S. F., Cui, Y. 2024; 626 (7998): 306-312

    Abstract

    Rechargeable Li-metal batteries have the potential to more than double the specific energy of the state-of-the-art rechargeable Li-ion batteries, making Li-metal batteries a prime candidate for next-generation high-energy battery technology1-3. However, current Li-metal batteries suffer from fast cycle degradation compared with their Li-ion battery counterparts2,3, preventing their practical adoption. A main contributor to capacity degradation is the disconnection of Li from the electrochemical circuit, forming isolated Li4-8. Calendar ageing studies have shown that resting in the charged state promotes further reaction of active Li with the surrounding electrolyte9-12. Here we discover that calendar ageing in the discharged state improves capacity retention through isolated Li recovery, which is in contrast with the well-known phenomenon of capacity degradation observed during the charged state calendar ageing. Inactive capacity recovery is verified through observation of Coulombic efficiency greater than 100% on both Li||Cu half-cells and anode-free cells using a hybrid continuous-resting cycling protocol and with titration gas chromatography. An operando optical setup further confirms excess isolated Li reactivation as the predominant contributor to the increased capacity recovery. These insights into a previously unknown pathway for capacity recovery through discharged state resting emphasize the marked impact of cycling strategies on Li-metal battery performance.

    View details for DOI 10.1038/s41586-023-06992-8

    View details for PubMedID 38326593

    View details for PubMedCentralID 8580315

  • Enhanced Growth in Atomic Layer Deposition of Ruthenium Metal: The Role of Surface Diffusion and Nucleation Sites CHEMISTRY OF MATERIALS Rothman, A., Werbrouck, A., Bent, S. F. 2023; 36 (1): 541-550
  • Interfacial engineering of lithium metal anodes: what is left to uncover? ENERGY ADVANCES Oyakhire, S. T., Bent, S. F. 2023

    View details for DOI 10.1039/d3ya00470h

    View details for Web of Science ID 001110224500001

  • Area-Selective Deposition by Cyclic Adsorption and Removal of 1-Nitropropane. The journal of physical chemistry. A Yarbrough, J., Bent, S. F. 2023

    Abstract

    The ever-greater complexity of modern electronic devices requires a larger chemical toolbox to support their fabrication. Here, we explore the use of 1-nitropropane as a small molecule inhibitor (SMI) for selective atomic layer deposition (ALD) on a combination of SiO2, Cu, CuOx, and Ru substrates. Results using water contact angle goniometry, Auger electron spectroscopy, and infrared spectroscopy show that 1-nitropropane selectively chemisorbs to form a high-quality inhibition layer on Cu and CuOx at an optimized temperature of 100 °C, but not on SiO2 and Ru. When tested against Al2O3 ALD, however, a single pulse of 1-nitropropane is insufficient to block deposition on the Cu surface. Thus, a new multistep process is developed for low-temperature Al2O3 ALD that cycles through exposures of 1-nitropropane, an aluminum metalorganic precursor, and coreactants H2O and O3, allowing the SMI to be sequentially reapplied and etched. Four different Al ALD precursors were investigated: trimethylaluminum (TMA), triethylaluminum (TEA), tris(dimethylamido)aluminum (TDMAA), and dimethylaluminum isopropoxide (DMAI). The resulting area-selective ALD process enables up to 50 cycles of Al2O3 ALD on Ru but not Cu, with 98.7% selectivity using TEA, and up to 70 cycles at 97.4% selectivity using DMAI. This work introduces a new class of SMI for selective ALD at lower temperatures, which could expand selective growth schemes to biological or organic substrates where temperature instability may be a concern.

    View details for DOI 10.1021/acs.jpca.3c04339

    View details for PubMedID 37683085

  • Area-Selective Atomic Layer Deposition for Resistive Random-Access Memory Devices. ACS applied materials & interfaces Oh, I. K., Khan, A. I., Qin, S., Lee, Y., Wong, H. P., Pop, E., Bent, S. F. 2023

    Abstract

    Resistive random-access memory (RRAM) is a promising technology for data storage and neuromorphic computing; however, cycle-to-cycle and device-to-device variability limits its widespread adoption and high-volume manufacturability. Improving the structural accuracy of RRAM devices during fabrication can reduce these variabilities by minimizing the filamentary randomness within a device. Here, we studied area-selective atomic layer deposition (AS-ALD) of the HfO2 dielectric for the fabrication of RRAM devices with higher reliability and accuracy. Without requiring photolithography, first we demonstrated ALD of HfO2 patterns uniformly and selectively on Pt bottom electrodes for RRAM but not on the underlying SiO2/Si substrate. RRAM devices fabricated using AS-ALD showed significantly narrower operating voltage range (2.6 × improvement) and resistance states than control devices without AS-ALD, improving the overall reliability of RRAM. Irrespective of device size (1 × 1, 2 × 2, and 5 × 5 μm2), we observed similar improvement, which is an inherent outcome of the AS-ALD technique. Our demonstration of AS-ALD for improved RRAM devices could further encourage the adoption of such techniques for other data storage technologies, including phase-change, magnetic, and ferroelectric RAM.

    View details for DOI 10.1021/acsami.3c05822

    View details for PubMedID 37656599

  • Proximity Matters: Interfacial Solvation Dictates Solid Electrolyte Interphase Composition. Nano letters Oyakhire, S. T., Liao, S., Shuchi, S. B., Kim, M. S., Kim, S. C., Yu, Z., Vila, R. A., Rudnicki, P. E., Cui, Y., Bent, S. F. 2023

    Abstract

    The composition of the solid electrolyte interphase (SEI) plays an important role in controlling Li-electrolyte reactions, but the underlying cause of SEI composition differences between electrolytes remains unclear. Many studies correlate SEI composition with the bulk solvation of Li ions in the electrolyte, but this correlation does not fully capture the interfacial phenomenon of SEI formation. Here, we provide a direct connection between SEI composition and Li-ion solvation by forming SEIs using polar substrates that modify interfacial solvation structures. We circumvent the deposition of Li metal by forming the SEI above Li+/Li redox potential. Using theory, we show that an increase in the probability density of anions near a polar substrate increases anion incorporation within the SEI, providing a direct correlation between interfacial solvation and SEI composition. Finally, we use this concept to form stable anion-rich SEIs, resulting in high performance lithium metal batteries.

    View details for DOI 10.1021/acs.nanolett.3c02037

    View details for PubMedID 37565722

  • Area-Selective Atomic Layer Deposition of Al2O3 with a Methanesulfonic Acid Inhibitor CHEMISTRY OF MATERIALS Yarbrough, J., Pieck, F., Shearer, A. B., Maue, P., Tonner-Zech, R., Bent, S. F. 2023
  • Dissolution of the Solid Electrolyte Interphase and Its Effects on Lithium Metal Anode Cyclability. Journal of the American Chemical Society Sayavong, P., Zhang, W., Oyakhire, S. T., Boyle, D. T., Chen, Y., Kim, S. C., Vilá, R. A., Holmes, S. E., Kim, M. S., Bent, S. F., Bao, Z., Cui, Y. 2023

    Abstract

    At >95% Coulombic efficiencies, most of the capacity loss for Li metal anodes (LMAs) is through the formation and growth of the solid electrolyte interphase (SEI). However, the mechanism through which this happens remains unclear. One property of the SEI that directly affects its formation and growth is the SEI's solubility in the electrolyte. Here, we systematically quantify and compare the solubility of SEIs derived from ether-based electrolytes optimized for LMAs using in-operando electrochemical quartz crystal microbalance (EQCM). A correlation among solubility, passivity, and cyclability established in this work reveals that SEI dissolution is a major contributor to the differences in passivity and electrochemical performance among battery electrolytes. Together with our EQCM, X-ray photoelectron spectroscopy (XPS), and nuclear magnetic resonance (NMR) spectroscopy results, we show that solubility depends on not only the SEI's composition but also the properties of the electrolyte. This provides a crucial piece of information that could help minimize capacity loss due to SEI formation and growth during battery cycling and aging.

    View details for DOI 10.1021/jacs.3c03195

    View details for PubMedID 37220230

  • Molecular Layer Deposition of Organic-Inorganic Hafnium Oxynitride Hybrid Films for Electrochemical Applications ACS APPLIED ENERGY MATERIALS Ablat, H., Oh, I., Richey, N. E., Oyakhire, S. T., Yang, Y., Zhang, W., Huang, W., Cui, Y., Bent, S. F. 2023; 6 (11): 5806-5816
  • Data-driven electrolyte design for lithium metal anodes. Proceedings of the National Academy of Sciences of the United States of America Kim, S. C., Oyakhire, S. T., Athanitis, C., Wang, J., Zhang, Z., Zhang, W., Boyle, D. T., Kim, M. S., Yu, Z., Gao, X., Sogade, T., Wu, E., Qin, J., Bao, Z., Bent, S. F., Cui, Y. 2023; 120 (10): e2214357120

    Abstract

    Improving Coulombic efficiency (CE) is key to the adoption of high energy density lithium metal batteries. Liquid electrolyte engineering has emerged as a promising strategy for improving the CE of lithium metal batteries, but its complexity renders the performance prediction and design of electrolytes challenging. Here, we develop machine learning (ML) models that assist and accelerate the design of high-performance electrolytes. Using the elemental composition of electrolytes as the features of our models, we apply linear regression, random forest, and bagging models to identify the critical features for predicting CE. Our models reveal that a reduction in the solvent oxygen content is critical for superior CE. We use the ML models to design electrolyte formulations with fluorine-free solvents that achieve a high CE of 99.70%. This work highlights the promise of data-driven approaches that can accelerate the design of high-performance electrolytes for lithium metal batteries.

    View details for DOI 10.1073/pnas.2214357120

    View details for PubMedID 36848560

  • Revealing the Multifunctions of Li3N in the Suspension Electrolyte for Lithium Metal Batteries. ACS nano Kim, M. S., Zhang, Z., Wang, J., Oyakhire, S. T., Kim, S. C., Yu, Z., Chen, Y., Boyle, D. T., Ye, Y., Huang, Z., Zhang, W., Xu, R., Sayavong, P., Bent, S. F., Qin, J., Bao, Z., Cui, Y. 2023

    Abstract

    Inorganic-rich solid-electrolyte interphases (SEIs) on Li metal anodes improve the electrochemical performance of Li metal batteries (LMBs). Therefore, a fundamental understanding of the roles played by essential inorganic compounds in SEIs is critical to realizing and developing high-performance LMBs. Among the prevalent SEI inorganic compounds observed for Li metal anodes, Li3N is often found in the SEIs of high-performance LMBs. Herein, we elucidate new features of Li3N by utilizing a suspension electrolyte design that contributes to the improved electrochemical performance of the Li metal anode. Through empirical and computational studies, we show that Li3N guides Li electrodeposition along its surface, creates a weakly solvating environment by decreasing Li+-solvent coordination, induces organic-poor SEI on the Li metal anode, and facilitates Li+ transport in the electrolyte. Importantly, recognizing specific roles of SEI inorganics for Li metal anodes can serve as one of the rational guidelines to design and optimize SEIs through electrolyte engineering for LMBs.

    View details for DOI 10.1021/acsnano.2c12470

    View details for PubMedID 36700841

  • Correlating the Formation Protocols of Solid Electrolyte Interphases with Practical Performance Metrics in Lithium Metal Batteries ACS ENERGY LETTERS Oyakhire, S. T., Zhang, W., Yu, Z., Holmes, S. E., Sayavong, P., Kim, S., Boyle, D. T., Kim, M., Zhang, Z., Cui, Y., Bent, S. F. 2023: 869-877
  • Molecular layer deposition of an Al-based hybrid resist for electron-beam and EUV lithography Ravi, A., Shi, J., Lewis, J., Bent, S. F., Guerrero, D., Amblard, G. R. SPIE-INT SOC OPTICAL ENGINEERING. 2023

    View details for DOI 10.1117/12.2657636

    View details for Web of Science ID 001022961000031

  • Sequential Use of Orthogonal Self-Assembled Monolayers for Area-Selective Atomic Layer Deposition of Dielectric on Metal ADVANCED MATERIALS INTERFACES Liu, T., Harake, M., Bent, S. F. 2022
  • Ionic Liquid-Mediated Route to Atomic Layer Deposition of Tin(II) Oxide via a C-C Bond Cleavage Ligand Modification Mechanism. Journal of the American Chemical Society Shi, J., Seo, S., Schuster, N. J., Kim, H., Bent, S. F. 2022

    Abstract

    Atomic layer deposition (ALD) is a technologically important method to grow thin films with high conformality and excellent thickness control from vapor phase precursors. The development of new thermal ALD processes can be limited by precursor reactivity and stability: reaction temperature and precursor design are among the few variables available to achieve higher reactivity in gas-phase reactions, unlike in solution synthesis, where the use of solvent and/or a catalyst can promote a desired reaction. To bridge this synthesis gap between vapor-phase and solution-phase, we demonstrate the use of an ultrathin coating layer of a vapor phase-compatible solvent─an ionic liquid (IL)─on our growth substrate to perform ALD of SnO. Successful SnO deposition is achieved using tin acetylacetonate and water, a process that otherwise would require a stronger counter-reactant such as ozone. The presence of the layer of IL allows a solvent-mediated reaction mechanism to take place on the growth substrate surface. We report a growth per cycle of 0.67 A/cycle at a deposition temperature of 100 °C in an IL comprising 1-ethyl-3-methylimidazolium hydrogen sulfate. Characterization of the ALD films confirms the SnO film composition, and 1H and 13C NMR are used to probe the solvent-mediated ALD reaction, suggesting a solvent-mediated addition-elimination-type mechanism which breaks a C-C bond in acetylacetonate to form acetone and acetate. Density functional theory calculations show that the IL solvent is beneficial to the proposed solvent-mediated mechanism by lowering the C-C bond cleavage energetics of acetylacetonate compared to the vapor phase. A general class of ligand modification reactions for thermal ALD is thus introduced in this work.

    View details for DOI 10.1021/jacs.2c10257

    View details for PubMedID 36378111

  • Surface Fe clusters promote syngas reaction to oxygenates on Rh catalysts modified by atomic layer deposition JOURNAL OF CATALYSIS Nathan, S. S., Asundi, A. S., Hoffman, A. S., Hong, J., Zhou, C., Vila, F. D., Cargnello, M., Bare, S. R., Bent, S. F. 2022; 414: 125-136
  • An X-ray Photoelectron Spectroscopy Primer for Solid Electrolyte Interphase Characterization in Lithium Metal Anodes ACS ENERGY LETTERS Oyakhire, S. T., Gong, H., Cui, Y., Bao, Z., Bent, S. F. 2022; 7 (8)
  • Electrical resistance of the current collector controls lithium morphology. Nature communications Oyakhire, S. T., Zhang, W., Shin, A., Xu, R., Boyle, D. T., Yu, Z., Ye, Y., Yang, Y., Raiford, J. A., Huang, W., Schneider, J. R., Cui, Y., Bent, S. F. 2022; 13 (1): 3986

    Abstract

    The electrodeposition of low surface area lithium is critical to successful adoption of lithium metal batteries. Here, we discover the dependence of lithium metal morphology on electrical resistance of substrates, enabling us to design an alternative strategy for controlling lithium morphology and improving electrochemical performance. By modifying the current collector with atomic layer deposited conductive (ZnO, SnO2) and resistive (Al2O3) nanofilms, we show that conductive films promote the formation of high surface area lithium deposits, whereas highly resistive films promote the formation of lithium clusters of low surface area. We reveal an electrodeposition mechanism in which radial diffusion of electroactive species is promoted on resistive substrates, resulting in lateral growth of large (150m in diameter) planar lithium deposits. Using resistive substrates, similar lithium morphologies are formed in three distinct classes of electrolytes, resulting in up to ten-fold improvement in battery performance. Ultimately, we report anode-free pouch cells using the Al2O3-modified copper that maintain 60 % of their initial discharge capacity after 100 cycles, displaying the benefits of resistive substrates for controlling lithium electrodeposition.

    View details for DOI 10.1038/s41467-022-31507-w

    View details for PubMedID 35821247

  • Understanding and Utilizing Reactive Oxygen Reservoirs in Atomic Layer Deposition of Metal Oxides with Ozone CHEMISTRY OF MATERIALS Schneider, J. R., de Paula, C., Richey, N. E., Baker, J. G., Oyakhire, S. T., Bent, S. F. 2022
  • Elucidating the Reaction Mechanism of Atomic Layer Deposition of Al2O3 with a Series of Al(CH3)xCl3-x and Al(CyH2y+1)3 Precursors. Journal of the American Chemical Society Oh, I., Sandoval, T. E., Liu, T., Richey, N. E., Nguyen, C. T., Gu, B., Lee, H., Tonner-Zech, R., Bent, S. F. 2022

    Abstract

    The adsorption of metalorganic and metal halide precursors on the SiO2 surface plays an essential role in thin-film deposition processes such as atomic layer deposition (ALD). In the case of aluminum oxide (Al2O3) films, the growth characteristics are influenced by the precursor structure, which controls both chemical reactivity and the geometrical constraints during deposition. In this work, a systematic study using a series of Al(CH3)xCl3-x (x = 0, 1, 2, and 3) and Al(CyH2y+1)3 (y = 1, 2, and 3) precursors is carried out using a combination of experimental spectroscopic techniques together with density functional theory calculations and Monte Carlo simulations to analyze differences across precursor molecules. Results show that reactivity and steric hindrance mutually influence the ALD surface reaction. The increase in the number of chlorine ligands in the precursor shifts the deposition temperature higher, an effect attributed to more favorable binding of the intermediate species due to higher Lewis acidity, while differences between precursors in film growth per cycle are shown to originate from variations in adsorption activation barriers and size-dependent saturation coverage. Comparison between the theoretical and experimental results indicates that the Al(CyH2y+1)3 precursors are favored to undergo two ligand exchange reactions upon adsorption at the surface, whereas only a single Cl-ligand exchange reaction is energetically favorable upon adsorption by the AlCl3 precursor. By pursuing the first-principles design of ALD precursors combined with experimental analysis of thin-film growth, this work enables a robust understanding of the effect of precursor chemistry on ALD processes.

    View details for DOI 10.1021/jacs.2c03752

    View details for PubMedID 35674504

  • Molecular Layer Deposition of a Hafnium-Based Hybrid Thin Film as an Electron Beam Resist. ACS applied materials & interfaces Shi, J., Ravi, A., Richey, N. E., Gong, H., Bent, S. F. 2022

    Abstract

    The development of new resist materials is vital to fabrication techniques for next-generation microelectronics. Inorganic resists are promising candidates because they have higher etch resistance, are more impervious to pattern collapse, and are more absorbing of extreme ultraviolet (EUV) radiation than organic resists. However, there is limited understanding about how they behave under irradiation. In this work, a Hf-based hybrid thin film resist, known as "hafnicone", is deposited from the vapor-phase via molecular layer deposition (MLD), and its electron-beam and deep-ultraviolet (DUV)-induced patterning mechanism is explored. The hafnicone thin films are deposited at 100 °C by using the Hf precursor tetrakis(dimethylamido)hafnium(IV) and the organic precursor ethylene glycol. E-beam lithography, scanning electron microscopy, and profilometry are used to investigate the resist performance of hafnicone. With 3 M HCl as the developer, hafnicone behaves as a negative tone resist which exhibits a sensitivity of 400 muC/cm2 and the ability to resolve 50 nm line widths. The resist is characterized via X-ray photoelectron spectroscopy (XPS) and infrared spectroscopy (IR) to investigate the patterning mechanism, which is described in the context of classical nucleation theory. This study of hafnicone hybrid MLD demonstrates the ability for the bottom-up vapor deposition of inorganic resists to be utilized in advanced e-beam and DUV lithographic techniques.

    View details for DOI 10.1021/acsami.2c04092

    View details for PubMedID 35653232

  • Copper Oxidation Improves Dodecanethiol Blocking Ability in Area-Selective Atomic Layer Deposition ADVANCED MATERIALS INTERFACES Liu, T., Bent, S. F. 2022
  • Tuning Molecular Inhibitors and Aluminum Precursors for the AreaSelective Atomic Layer Deposition of Al2O3 br CHEMISTRY OF MATERIALS Yarbrough, J., Pieck, F., Grigjanis, D., Oh, I., Maue, P., Tonner-Zech, R., Bent, S. F. 2022; 34 (10): 4646-4659
  • Methyl-methacrylate based aluminum hybrid film grown via three-precursor molecular layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Oyakhire, S. T., Ablat, H., Richey, N. E., Bent, S. F. 2022; 40 (2)

    View details for DOI 10.1116/6.0001505

    View details for Web of Science ID 000756554100001

  • Steering CO2 hydrogenation toward C-C coupling to hydrocarbons using porous organic polymer/metal interfaces. Proceedings of the National Academy of Sciences of the United States of America Zhou, C., Asundi, A. S., Goodman, E. D., Hong, J., Werghi, B., Hoffman, A. S., Nathan, S. S., Bent, S. F., Bare, S. R., Cargnello, M. 2022; 119 (7)

    Abstract

    The conversion of CO2 into fuels and chemicals is an attractive option for mitigating CO2 emissions. Controlling the selectivity of this process is beneficial to produce desirable liquid fuels, but C-C coupling is a limiting step in the reaction that requires high pressures. Here, we propose a strategy to favor C-C coupling on a supported Ru/TiO2 catalyst by encapsulating it within the polymer layers of an imine-based porous organic polymer that controls its selectivity. Such polymer confinement modifies the CO2 hydrogenation behavior of the Ru surface, significantly enhancing the C2+ production turnover frequency by 10-fold. We demonstrate that the polymer layers affect the adsorption of reactants and intermediates while being stable under the demanding reaction conditions. Our findings highlight the promising opportunity of using polymer/metal interfaces for the rational engineering of active sites and as a general tool for controlling selective transformations in supported catalyst systems.

    View details for DOI 10.1073/pnas.2114768119

    View details for PubMedID 35135880

  • Suspension electrolyte with modified Li+ solvation environment for lithium metal batteries. Nature materials Kim, M. S., Zhang, Z., Rudnicki, P. E., Yu, Z., Wang, J., Wang, H., Oyakhire, S. T., Chen, Y., Kim, S. C., Zhang, W., Boyle, D. T., Kong, X., Xu, R., Huang, Z., Huang, W., Bent, S. F., Wang, L., Qin, J., Bao, Z., Cui, Y. 1800

    Abstract

    Designing a stable solid-electrolyte interphase on a Li anode is imperative to developing reliable Li metal batteries. Herein, we report a suspension electrolyte design that modifies the Li+ solvation environment in liquid electrolytes and creates inorganic-rich solid-electrolyte interphases on Li. Li2O nanoparticles suspended in liquid electrolytes were investigated as a proof of concept. Through theoretical and empirical analyses of Li2O suspension electrolytes, the roles played by Li2O in the liquid electrolyte and solid-electrolyte interphases of the Li anode are elucidated. Also, the suspension electrolyte design is applied in conventional and state-of-the-art high-performance electrolytes to demonstrate its applicability. Based on electrochemical analyses, improved Coulombic efficiency (up to ~99.7%), reduced Li nucleation overpotential, stabilized Li interphases and prolonged cycle life of anode-free cells (~70 cycles at 80% of initial capacity) were achieved with the suspension electrolytes. We expect this design principle and our findings to be expanded into developing electrolytes and solid-electrolyte interphases for Li metal batteries.

    View details for DOI 10.1038/s41563-021-01172-3

    View details for PubMedID 35039645

  • Rational solvent molecule tuning for high-performance lithium metal battery electrolytes NATURE ENERGY Yu, Z., Rudnicki, P. E., Zhang, Z., Huang, Z., Celik, H., Oyakhire, S. T., Chen, Y., Kong, X., Kim, S., Xiao, X., Wang, H., Zheng, Y., Kamat, G. A., Kim, M., Bent, S. F., Qin, J., Cui, Y., Bao, Z. 2022
  • The Importance of Decarbonylation Mechanisms in the Atomic Layer Deposition of High-Quality Ru Films by Zero-Oxidation State Ru(DMBD)(CO)3. Small (Weinheim an der Bergstrasse, Germany) Schneider, J. R., de Paula, C., Lewis, J., Woodruff, J., Raiford, J. A., Bent, S. F. 1800: e2105513

    Abstract

    Achieving facile nucleation of noble metal films through atomic layer deposition (ALD) is extremely challenging. To this end, eta4 -2,3-dimethylbutadiene ruthenium(0) tricarbonyl (Ru(DMBD)(CO)3 ), a zero-valent complex, has recently been reported to achieve good nucleation by ALD at relatively low temperatures and mild reaction conditions. The authors study the growth mechanism of this precursor by in situ quartz-crystal microbalance and quadrupole mass spectrometry during Ru ALD, complemented by ex situ film characterization and kinetic modeling. These studies reveal that Ru(DMBD)(CO)3 produces high-quality Ru films with excellent nucleation properties. This results in smooth, coalesced films even at low film thicknesses, all important traits for device applications. However, Ru deposition follows a kinetically limited decarbonylation reaction scheme, akin to typical chemical vapor deposition processes, with a strong dependence on both temperature and reaction timescale. The non-self-limiting nature of the kinetically driven mechanism presents both challenges for ALD implementation and opportunities for process tuning. By surveying reports of similar precursors, it is suggested that the findings can be generalized to the broader class of zero-oxidation state carbonyl-based precursors used in thermal ALD, with insight into the design of effective saturation studies.

    View details for DOI 10.1002/smll.202105513

    View details for PubMedID 34989132

  • Modulating the optoelectronic properties of hybrid Mo-thiolate thin films JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Shi, J., Zeng, L., Nikzad, S., Koshy, D. M., Asundi, A. S., MacIsaac, C., Bent, S. F. 2022; 40 (1)

    View details for DOI 10.1116/6.0001378

    View details for Web of Science ID 000727196400002

  • Identifying higher oxygenate synthesis sites in Cu catalysts promoted and stabilized by atomic layer deposited Fe2O3 JOURNAL OF CATALYSIS Asundi, A. S., Nathan, S. S., Hong, J., Hoffman, A. S., Pennel, M., Bare, S. R., Bent, S. F. 2021; 404: 210-223
  • Tailoring the Surface of Metal Halide Perovskites to Enable the Atomic Layer Deposition of Metal Oxide Contacts ACS APPLIED ENERGY MATERIALS Raiford, J. A., Chosy, C., Reeves, B. A., Bent, S. F. 2021; 4 (9): 9871-9880
  • Characterizing Self-Assembled Monolayer Breakdown in Area-Selective Atomic Layer Deposition. Langmuir : the ACS journal of surfaces and colloids Liu, T., Zeng, L., Nardi, K. L., Hausmann, D. M., Bent, S. F. 2021

    Abstract

    To enable area-selective atomic layer deposition (AS-ALD), self-assembled monolayers (SAMs) have been used as the surface inhibitor to block a variety of ALD processes. The integrity of the SAM throughout the ALD process is critical to AS-ALD. Despite the demonstrated effectiveness of inhibition by SAMs, nucleation during ALD eventually occurs on SAM-protected surfaces, but its impact on SAM structures is still not fully understood. In this study, we chose the octadecyltrichlorosilane (ODTS) SAM as a model system to investigate the evolution of crystallinity and structure of SAMs before and after ALD. The breakdown behavior of SAMs when exposed to ZnO and Al2O3 ALD was systematically studied by combining synchrotron X-ray techniques and electron microscopy. We show that the crystallinity and structure of ODTS SAMs grown on Si substrates remain intact until a significant amount of material deposition takes place. In addition, the undesired ALD materials that grow on ODTS SAMs present contrasting morphologies: dispersed nanoparticles for ZnO while relatively continuous film for Al2O3. Lastly, substrate dependency was explored by comparing a Si substrate to single-crystal sapphire. Similar results in the evolution of SAM crystallinity and formation of ALD nuclei on top of SAM are observed in the ODTS-sapphire system. This study provides an in-depth view of the influence of ALD processes on the SAM structure and the nucleation behavior of ALD on SAM-protected surfaces.

    View details for DOI 10.1021/acs.langmuir.1c02211

    View details for PubMedID 34550696

  • Monolayer Support Control and Precise Colloidal Nanocrystals Demonstrate Metal-Support Interactions in Heterogeneous Catalysts. Advanced materials (Deerfield Beach, Fla.) Goodman, E. D., Asundi, A. S., Hoffman, A. S., Bustillo, K. C., Stebbins, J. F., Bare, S. R., Bent, S. F., Cargnello, M. 2021: e2104533

    Abstract

    Electronic and geometric interactions between active and support phases are critical in determining the activity of heterogeneous catalysts, but metal-support interactions are challenging to study. Here, it is demonstrated how the combination of the monolayer-controlled formation using atomic layer deposition (ALD) and colloidal nanocrystal synthesis methods leads to catalysts with sub-nanometer precision of active and support phases, thus allowing for the study of the metal-support interactions in detail. The use of this approach in developing a fundamental understanding of support effects in Pd-catalyzed methane combustion is demonstrated. Uniform Pd nanocrystals are deposited onto Al2 O3 /SiO2 spherical supports prepared with control over morphology and Al2 O3 layer thicknesses ranging from sub-monolayer to a 4nm thick uniform coating. Dramatic changes in catalytic activity depending on the coverage and structure of Al2 O3 situated at the Pd/Al2 O3 interface are observed, with even a single monolayer of alumina contributing an order of magnitude increase in reaction rate. By building the Pd/Al2 O3 interface up layer-by-layer and using uniform Pd nanocrystals, this work demonstrates the importance of controlled and tunable materials in determining metal-support interactions and catalyst activity.

    View details for DOI 10.1002/adma.202104533

    View details for PubMedID 34535919

  • Resilient Women and the Resiliency of Science CHEMISTRY OF MATERIALS Khashab, N. M., Skrabalak, S. E., Adler-Abramovich, L., Bent, S. F., El-Mellouhi, F., Kumacheva, E., Milliron, D. J., Neu, J., Rezasoltani, E., Shen, Q., Sicolo, S. 2021; 33 (17): 6585-6588
  • Role of Precursor Choice on Area-Selective Atomic Layer Deposition CHEMISTRY OF MATERIALS Oh, I., Sandoval, T. E., Liu, T., Richey, N. E., Bent, S. F. 2021; 33 (11): 3926-3935
  • Bridging thermal catalysis and electrocatalysis: Catalyzing CO2 conversion with carbon-based materials. Angewandte Chemie (International ed. in English) Koshy, D., Nathan, S., Asundi, A., Abdellah, A., Dull, S., Cullen, D., Higgins, D., Bao, Z., Bent, S., Jaramillo, T. 2021

    Abstract

    Understanding the differences between reactions driven by elevated temperature or electric potential remains challenging, largely due to materials incompatibilities between thermal catalytic and electrocatalytic environments. We show that Ni, N-doped carbon (NiPACN), an electrocatalyst for the reduction of CO2 to CO (CO2R), can also selectively catalyze thermal CO2 to CO via the reverse water gas shift (RWGS) representing a direct analogy between catalytic phenomena across the two reaction environments. Advanced characterization techniques reveal that NiPACN likely facilitates RWGS on dispersed Ni sites in agreement with CO2R active site studies. Finally, we construct a generalized reaction driving-force that includes temperature and potential and suggest that NiPACN could facilitate faster kinetics in CO2R relative to RWGS due to lower intrinsic barriers. This report motivates further studies that quantitatively link catalytic phenomena across disparate reaction environments.

    View details for DOI 10.1002/anie.202101326

    View details for PubMedID 33823079

  • Multi-metal coordination polymers grown through hybrid molecular layer deposition. Dalton transactions (Cambridge, England : 2003) Richey, N. E., Borhan, S., Bent, S. F. 2021

    Abstract

    Coordination polymers deposited by hybrid molecular layer deposition (MLD) techniques are of interest as highly conformal, functional materials. Incorporation of a second metal into these coordination polymers can result in additional functionality or fine tuning of the materials properties. Here, we investigate the deposition of multi-metal coordination polymers using hybrid MLD of Zn-Al and Zn-Hf with ethylene glycol as the organic linker. It is found that facile transmetalation occurs for the Zn-Al films, which results in Al-rich films, but does not take place for the Zn-Hf films. Additionally, the Zn-Hf films are found to be more resilient to ambient conditions than the pure Zn-based coordination polymer.

    View details for DOI 10.1039/d1dt00465d

    View details for PubMedID 33688907

  • Next generation nanopatterning using small molecule inhibitors for area-selective atomic layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Yarbrough, J., Shearer, A. B., Bent, S. F. 2021; 39 (2)

    View details for DOI 10.1116/6.0000840

    View details for Web of Science ID 000631009400001

  • Area-Selective Molecular Layer Deposition of a Silicon Oxycarbide Low-k Dielectric CHEMISTRY OF MATERIALS Yu, X., Bobb-Semple, D., Oh, I., Liu, T., Closser, R. G., Trevillyan, W., Bent, S. F. 2021; 33 (3): 902–9
  • Bridging the Synthesis Gap: Ionic Liquids Enable Solvent-Mediated Reaction in Vapor-Phase Deposition. ACS nano Shi, J., Bent, S. F. 2021

    Abstract

    Molecular layer deposition (MLD) is an attractive, vapor-phase deposition method for applications requiring ultrathin organic materials, such as photolithography, lithium batteries, and microelectronics. By using sequential self-limiting surface reactions, MLD offers excellent control over thickness and conformality, but there are also challenges such as a limited range of possible film compositions and long deposition times. In this study, we introduce a modified technique, termed ionic liquid assisted MLD (IL-MLD), that can overcome these barriers. By performing the surface reactions inside of an ultrathin layer of a compatible ionic liquid (IL), solvent effects are replicated inside a vacuum system, broadening the possible reactions to a much wider suite of chemistries. Using this strategy, the MLD of polyetherketoneketone, an industrially and research-relevant, high-performance thermoplastic, is reported. With this proof-of-concept, we demonstrate that IL-MLD can enable the synthesis of polymers via solvent- or catalyst-mediated reactions and establish an approach that may allow solution chemistries to be accessed in other vapor deposition techniques as well.

    View details for DOI 10.1021/acsnano.0c09329

    View details for PubMedID 33523630

  • Identification of highly active surface iron sites on Ni(OOH) for the oxygen evolution reaction by atomic layer deposition JOURNAL OF CATALYSIS Baker, J. G., Schneider, J. R., Paula, C., Mackus, A. M., Bent, S. F. 2021; 394: 476–85
  • Area-Selective Atomic Layer Deposition on Chemically Similar Materials: Achieving Selectivity on Oxide/Oxide Patterns CHEMISTRY OF MATERIALS Liu, T., Bent, S. F. 2021; 33 (2): 513–23
  • Increased selectivity in area-selective ALD by combining nucleation enhancement and SAM-based inhibition JOURNAL OF MATERIALS RESEARCH de Paula, C., Bobb-Semple, D., Bent, S. F. 2021
  • Impurity Control in Catalyst Design: The Role of Sodium in Promoting and Stabilizing Co and Co2C for Syngas Conversion CHEMCATCHEM Asundi, A. S., Hoffman, A. S., Nathan, S. S., Boubnov, A., Bare, S. R., Bent, S. F. 2021
  • Understanding Selectivity in CO2 Hydrogenation to Methanol for MoP Nanoparticle Catalysts Using In Situ Techniques CATALYSTS Duyar, M. S., Gallo, A., Regli, S. K., Snider, J. L., Singh, J. A., Valle, E., McEnaney, J., Bent, S. F., Ronning, M., Jaramillo, T. F. 2021; 11 (1)
  • Understanding Support Effects of ZnO-Promoted Co Catalysts for Syngas Conversion to Alcohols Using Atomic Layer Deposition CHEMCATCHEM Nathan, S. S., Asundi, A. S., Singh, J. A., Hoffman, A. S., Boubnov, A., Hong, J., Bare, S. R., Bent, S. F. 2020
  • Atomic Layer Deposition of Pt on the Surface Deactivated by Fluorocarbon Implantation: Investigation of the Growth Mechanism CHEMISTRY OF MATERIALS Kim, W., Shin, K., Shong, B., Godet, L., Bent, S. F. 2020; 32 (22): 9696–9703
  • Enhanced alcohol production over binary Mo/Co carbide catalysts in syngas conversion JOURNAL OF CATALYSIS Asundi, A. S., Hoffman, A. S., Chi, M., Nathan, S. S., Boubnov, A., Hong, J., Bare, S. R., Bent, S. F. 2020; 391: 446–58
  • Thermally Activated Reactions of Phenol at the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Ansari, A., Bent, S. F. 2020; 124 (43): 23657–60
  • Revealing and Elucidating ALD-Derived Control of Lithium Plating Microstructure ADVANCED ENERGY MATERIALS Oyakhire, S. T., Huang, W., Wang, H., Boyle, D. T., Schneider, J. R., de Paula, C., Wu, Y., Cui, Y., Bent, S. F. 2020
  • Effect of Heteroaromaticity on Adsorption of Pyrazine on the Ge(100)-2x1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Sandoval, T. E., Pieck, F., Tonner, R., Bent, S. F. 2020; 124 (40): 22055–68
  • Effect of Multilayer versus Monolayer Dodecanethiol on Selectivity and Pattern Integrity in Area-Selective Atomic Layer Deposition ACS APPLIED MATERIALS & INTERFACES Liu, T., Nardi, K. L., Draeger, N., Hausmann, D. M., Bent, S. F. 2020; 12 (37): 42226–35

    Abstract

    Monolayer and multilayer dodecanethiols (DDT) can be assembled onto a copper surface from the vapor phase depending on the initial oxidation state of the copper. The ability of the copper-bound dodecanethiolates to block atomic layer deposition (ALD) and the resulting behavior at the interfaces of Cu/SiO2 patterns during area-selective ALD (AS-ALD) are compared between mono- and multilayers. We show that multilayer DDT is ∼7 times more effective at blocking ZnO ALD from diethylzinc and water than is monolayer DDT. Conversely, monolayer DDT exhibits better performance than does multilayer DDT in blocking of Al2O3 ALD from trimethylaluminum and water. Investigation into interfacial effects at the interface between Cu and SiO2 on Cu/SiO2 patterns reveals both a gap at the SiO2 edges and a pitch size-dependent nucleation delay of ZnO ALD on SiO2 regions of multilayer DDT-coated patterns. In contrast, no impact on ZnO ALD is observed on the SiO2 regions of monolayer DDT-coated patterns. We also show that these interfacial effects depend on the ALD chemistry. Whereas an Al2O3 film grows on the TaN diffusion barrier of a DDT-treated Cu/SiO2 pattern, the ZnO film does not. These results indicate that the structure of the DDT layer and the ALD precursor chemistry both play an important role in achieving AS-ALD.

    View details for DOI 10.1021/acsami.0c08873

    View details for Web of Science ID 000572965700129

    View details for PubMedID 32805867

  • Overcoming Redox Reactions at Perovskite-Nickel Oxide Interfaces to Boost Voltages in Perovskite Solar Cells JOULE Boyd, C. C., Shallcross, R., Moot, T., Kerner, R., Bertoluzzi, L., Onno, A., Kavadiya, S., Chosy, C., Wolf, E. J., Werner, J., Raiford, J. A., de Paula, C., Palmstrom, A. F., Yu, Z. J., Berry, J. J., Bent, S. F., Holman, Z. C., Luther, J. M., Ratcliff, E. L., Armstrong, N. R., McGehee, M. D. 2020; 4 (8): 1759–75
  • The Molybdenum Oxide Interface Limits the High-Temperature Operational Stability of Unencapsulated Perovskite Solar Cells ACS ENERGY LETTERS Schloemer, T. H., Raiford, J. A., Gehan, T. S., Moot, T., Nanayakkara, S., Harvey, S. P., Bramante, R. C., Dunfield, S., Louks, A. E., Maughan, A. E., Bliss, L., McGehee, M. D., van Hest, M. M., Reese, M. O., Bent, S. F., Berry, J. J., Luther, J. M., Sellinger, A. 2020; 5 (7): 2349–60
  • Applications of atomic layer deposition and chemical vapor deposition for perovskite solar cells ENERGY & ENVIRONMENTAL SCIENCE Raiford, J. A., Oyakhire, S. T., Bent, S. F. 2020; 13 (7): 1997–2023

    View details for DOI 10.1039/d0ee00385a

    View details for Web of Science ID 000549074800004

  • Selective Toolbox for Nanofabrication CHEMISTRY OF MATERIALS Lee, H., Bent, S. F. 2020; 32 (8): 3323–24
  • The Influence of Ozone: Superstoichiometric Oxygen in Atomic Layer Deposition of Fe2O3 Using tert-Butylferrocene and O-3 ADVANCED MATERIALS INTERFACES Schneider, J. R., Baker, J. G., Bent, S. F. 2020
  • Nucleation Effects in the Atomic Layer Deposition of Nickel-Aluminum Oxide Thin Films CHEMISTRY OF MATERIALS Baker, J. G., Schneider, J. R., Raiford, J. A., de Paula, C., Bent, S. F. 2020; 32 (5): 1925–36
  • Understanding chemical and physical mechanisms in atomic layer deposition. The Journal of chemical physics Richey, N. E., de Paula, C., Bent, S. F. 2020; 152 (4): 040902

    Abstract

    Atomic layer deposition (ALD) is a powerful tool for achieving atomic level control in the deposition of thin films. However, several physical and chemical phenomena can occur which cause deviation from "ideal" film growth during ALD. Understanding the underlying mechanisms that cause these deviations is important to achieving even better control over the growth of the deposited material. Herein, we review several precursor chemisorption mechanisms and the effect of chemisorption on ALD growth. We then follow with a discussion on diffusion and its impact on film growth during ALD. Together, these two fundamental processes of chemisorption and diffusion underlie the majority of mechanisms which contribute to material growth during a given ALD process, and the recognition of their role allows for more rational design of ALD parameters.

    View details for DOI 10.1063/1.5133390

    View details for PubMedID 32007080

  • Synthesis of a Hybrid Nanostructure of ZnO-Decorated MoS2 by Atomic Layer Deposition. ACS nano Oh, I., Kim, W., Zeng, L., Singh, J., Bae, D., Mackus, A. J., Song, J., Seo, S., Shong, B., Kim, H., Bent, S. F. 2020

    Abstract

    We introduce the synthesis of hybrid nanostructures comprised of ZnO nanocrystals (NCs) decorating nanosheets and nanowires (NWs) of MoS2 prepared by atomic layer deposition (ALD). The concentration, size, and surface-to-volume ratio of the ZnO NCs can be systematically engineered by controlling both the number of ZnO ALD cycles and the properties of the MoS2 substrates, which are prepared by sulfurizing ALD MoO3. Analysis of the chemical composition combined with electron microscopy and synchrotron X-ray techniques as a function of the number of ZnO ALD cycles, together with the results of quantum chemical calculations, help elucidate the ZnO growth mechanism and its dependence on the properties of the MoS2 substrate. The defect density and grain size of MoS2 nanosheets are controlled by the sulfurization temperature of ALD MoO3, and the ZnO NCs in turn nucleate selectively at defect sites on MoS2 surface and enlarge with increasing ALD cycle numbers. At higher ALD cycle numbers, the coalescence of ZnO NCs contributes to an increase in areal coverage and NC size. Additionally, the geometry of the hybrid structures can be tuned by changing the dimensionality of the MoS2, by employing vertical NWs of MoS2 as the substrate for ALD ZnO NCs, which leads to improvement of the relevant surface-to-volume ratio. Such materials are expected to find use in newly expanded applications, especially those such as sensors or photodevices based on a p-n heterojunction which relies on coupling transition-metal dichalcogenides with NCs.

    View details for DOI 10.1021/acsnano.9b07467

    View details for PubMedID 31967453

  • Mechanistic Study of Nucleation Enhancement in Atomic Layer Deposition by Pretreatment with Small Organometallic Molecules CHEMISTRY OF MATERIALS de Paula, C., Richey, N. E., Zeng, L., Bent, S. F. 2020; 32 (1): 315–25
  • Surface Energy Change of Atomic-Scale Metal Oxide Thin Films by Phase Transformation. ACS nano Oh, I. K., Zeng, L. n., Kim, J. E., Park, J. S., Kim, K. n., Lee, H. n., Seo, S. n., Khan, M. R., Kim, S. n., Park, C. W., Lee, J. n., Shong, B. n., Lee, Z. n., Bent, S. F., Kim, H. n., Park, J. Y., Lee, H. B. 2020

    Abstract

    Fine-tuning of the surface free energy (SFE) of a solid material facilitates its use in a wide range of applications requiring precise control of the ubiquitous presence of liquid on the surface. In this study, we found that the SFE of rare-earth oxide (REO) thin films deposited by atomic layer deposition (ALD) gradually decreased with increasing film thickness; however, these changes could not be understood by classical interaction models. Herein, the mechanism underlying the aforesaid decrease was systematically studied by measuring contact angles, surface potential, adhesion force, crystalline structures, chemical compositions, and morphologies of the REO films. A growth mode of the REO films was observed: layer-by-layer growth at the initial stage with an amorphous phase and subsequent crystalline island growth, accompanied by a change in the crystalline structure and orientation that affects the SFE. The portion of the surface crystalline facets terminated with (222) and (440) planes evolved with an increase in ALD cycles and film thickness, as an amorphous phase was transformed. Based on this information, we demonstrated an SFE-tuned liquid tweezer with selectivity to target liquid droplets. We believe that the results of this fundamental and practical study, with excellent selectivity to liquids, will have significant impacts on coating technology.

    View details for DOI 10.1021/acsnano.9b07430

    View details for PubMedID 31927973

  • Modified atomic layer deposition of MoS2 thin films Modified atomic layer deposition of MoS2 thin films Zeng, L., Richey, N. E., Palm, D. W., Oh, I., Shi, J., MacIsaac, C., Jaramillo, T., Bent, S. F. 2020; 38: 060403

    View details for DOI 10.1116/6.0000641

  • Substrate-Dependent Study of Chain Orientation and Order in Alkylphosphonic Acid Self-Assembled Monolayers for ALD Blocking. Langmuir : the ACS journal of surfaces and colloids Bobb-Semple, D. n., Zeng, L. n., Cordova, I. n., Bergsman, D. S., Nordlund, D. n., Bent, S. F. 2020

    Abstract

    For years, many efforts in area selective atomic layer deposition (AS-ALD) have focused on trying to achieve high-quality self-assembled monolayers (SAMs), which have been shown by a number of studies to be effective for blocking deposition. Herein, we show that in some cases where a densely packed SAM is not formed, significant ALD inhibition may still be realized. The formation of octadecylphosphonic acid (ODPA) SAMs was evaluated on four metal substrates: Cu, Co, W, and Ru. The molecular orientation, chain packing, and relative surface coverage were evaluated using near-edge X-ray absorption fine structure (NEXAFS), Fourier transform infrared (FTIR) spectroscopy, and electrochemical impedance spectroscopy (EIS). ODPA SAMs formed on Co, Cu, and W showed strong angular dependence of the NEXAFS signal whereas ODPA on Ru did not, suggesting a disordered layer was formed on Ru. Additionally, EIS and FTIR spectroscopy confirmed that Co and Cu form densely packed, "crystal-like" SAMs whereas Ru and W form less dense monolayers, a surprising result since W-ODPA was previously shown to inhibit the ALD of ZnO and Al2O3 best among all the substrates. This work suggests that multiple factors play a role in SAM-based AS-ALD, not just the SAM quality. Therefore, metrological averaging techniques (e.g., WCA and FTIR spectroscopy) commonly used for evaluating SAMs to predict their suitability for ALD inhibition should be supplemented by more atomically sensitive methods. Finally, it highlights important considerations for describing the mechanism of SAM-based selective ALD.

    View details for DOI 10.1021/acs.langmuir.0c01974

    View details for PubMedID 33079543

  • Enhanced Nucleation of Atomic Layer Deposited Contacts Improves Operational Stability of Perovskite Solar Cells in Air ADVANCED ENERGY MATERIALS Raiford, J. A., Boyd, C. C., Palmstrom, A. F., Wolf, E. J., Fearon, B. A., Berry, J. J., McGehee, M. D., Bent, S. F. 2019
  • Design of low bandgap tin-lead halide perovskite solar cells to achieve thermal, atmospheric and operational stability NATURE ENERGY Prasanna, R., Leijtens, T., Dunfield, S. P., Raiford, J. A., Wolf, E. J., Swifter, S. A., Werner, J., Eperon, G. E., de Paula, C., Palmstrom, A. F., Boyd, C. C., van Hest, M. M., Bent, S. F., Teeter, G., Berry, J. J., McGehee, M. D. 2019; 4 (11): 939–47
  • Structurally Stable Manganese Alkoxide Films Grown by Hybrid Molecular Layer Deposition for Electrochemical Applications ADVANCED FUNCTIONAL MATERIALS Bergsman, D. S., Baker, J. G., Closser, R. G., Maclsaac, C., Lillethorup, M., Strickler, A. L., Azarnouche, L., Godet, L., Bent, S. F. 2019
  • A Versatile Method for Ammonia Detection in a Range of Relevant Electrolytes via Direct Nuclear Magnetic Resonance Techniques ACS CATALYSIS Nielander, A. C., McEnaney, J. M., Schwalbe, J. A., Baker, J. G., Blair, S. J., Wang, L., Pelton, J. G., Andersen, S. Z., Enemark-Rasmussen, K., Colic, V., Yang, S., Bent, S. F., Cargnello, M., Kibsgaard, J., Vesborg, P. K., Chorkendorff, I., Jaramillo, T. F. 2019; 9 (7): 5797–5802
  • Growth of a Surface-Tethered, All-Carbon Backboned Fluoropolymer by Photoactivated Molecular Layer Deposition ACS APPLIED MATERIALS & INTERFACES Closser, R. G., Lillethorup, M., Bergsman, D. S., Bent, S. F. 2019; 11 (24): 21988–97

    Abstract

    The synthesis of an all-carbon backboned fluoropolymer using photoactivated molecular layer deposition (pMLD) is developed. pMLD is a vapor-phase, layer-by-layer, organic thin film synthesis method utilizing UV light, allowing for the creation of materials previously unavailable via thermal MLD. The carbon backbone is achieved by reacting an iodine-containing fluorocarbon monomer (1,4-diiodooctafluorobutane) and a diene monomer (1,5-hexadiene) under UV irradiation in a step-growth polymerization sequence. The polymerization occurs with a growth rate of 1.3 Å/cycle, forming a copolymer containing hydrocarbon and fluorocarbon segments. X-ray photoelectron spectroscopy (XPS) was used to confirm the formation of new carbon-carbon bonds and quantify the final film composition. In situ XPS thermal annealing experiments confirm the film stability up to 400 °C. The ability to pattern the fluoropolymer on a surface is demonstrated using a photomask, suggesting that these films could be incorporated into photolithographic processes. Together, these results demonstrate that pMLD can be used to synthesize carbon backboned films with photopatterning ability, expanding the available chemistries and potential applications of MLD polymers.

    View details for DOI 10.1021/acsami.9b03462

    View details for Web of Science ID 000472683300079

    View details for PubMedID 31180195

  • Atomic layer deposition of vanadium oxide to reduce parasitic absorption and improve stability in n-i-p perovskite solar cells for tandems SUSTAINABLE ENERGY & FUELS Raiford, J. A., Belisle, R. A., Bush, K. A., Prasanna, R., Palmstrom, A. F., McGehee, M. D., Bent, S. F. 2019; 3 (6): 1517–25

    View details for DOI 10.1039/c9se00081j

    View details for Web of Science ID 000469258600014

  • A rigorous electrochemical ammonia synthesis protocol with quantitative isotope measurements. Nature Andersen, S. Z., Colic, V., Yang, S., Schwalbe, J. A., Nielander, A. C., McEnaney, J. M., Enemark-Rasmussen, K., Baker, J. G., Singh, A. R., Rohr, B. A., Statt, M. J., Blair, S. J., Mezzavilla, S., Kibsgaard, J., Vesborg, P. C., Cargnello, M., Bent, S. F., Jaramillo, T. F., Stephens, I. E., Norskov, J. K., Chorkendorff, I. 2019

    Abstract

    The electrochemical synthesis of ammonia from nitrogen under mild conditions and using renewable electricity is in principle an attractive alternative1-4 to the demanding, energy-intense Haber-Bosch process, which dominates industrial ammonia production. However, the electrochemical alternative faces considerable scientific and technical challenges5,6 and most experimental studies reported thus far achieve only low selectivities and conversions. In fact, the amount of ammonia produced is usually so small that it is difficult to firmly attribute it to electrochemical nitrogen fixation7-9 and exclude contamination due to ammonia that is either present in air, human breath or ion-conducting membranes9, or generated from labile nitrogen-containing compounds (for example, nitrates, amines, nitrites and nitrogen oxides) that are typically present in the nitrogen gas stream10, in the atmosphere or even the catalyst itself. Although these many and varied sources of potential experimental artefacts are beginning to be recognized and dealt with11,12, concerted efforts to develop effective electrochemical nitrogen reduction processes would benefit from benchmarking protocols for the reaction and from a standardized set of control experiments to identify and then eliminate or quantify contamination sources. Here we put forward such a rigorous procedure that, by making essential use of 15N2, allows us to reliably detect and quantify the electroreduction of N2 to NH3. We demonstrate experimentally the significance of various sources of contamination and show how to remove labile nitrogen-containing compounds present in the N2 gas and how to perform quantitative isotope measurements with cycling of 15N2 gas to reduce both contamination and the cost of isotope measurements. Following this protocol, we obtain negative results when using the most promising pure metal catalysts in aqueous media, and successfully confirm and quantify ammonia synthesis using lithium electrodeposition in tetrahydrofuran13.

    View details for DOI 10.1038/s41586-019-1260-x

    View details for PubMedID 31117118

  • The Role of Aluminum in Promoting Ni-Fe-OOH Electrocatalysts for the Oxygen Evolution Reaction ACS APPLIED ENERGY MATERIALS Baker, J. G., Schneider, J. R., Torres, J., Singh, J. A., Mackus, A. M., Bajdich, M., Bent, S. F. 2019; 2 (5): 3488–99
  • Opportunities for Atomic Layer Deposition in Emerging Energy Technologies ACS ENERGY LETTERS Asundi, A. S., Raiford, J. A., Bent, S. F. 2019; 4 (4): 908–25
  • Quantitative protocol for the electroreduction of N2 to NH3 under ambient conditions Stephens, I., Andersen, S., Colic, V., Yang, S., Schwalbe, J., Nielander, A., McEnaney, J., Enemark-Rasmussen, K., Baker, J., Singh, A., Rohr, B., Blair, S., Mezzavilla, S., Kibsgaard, J., Vesborg, P., Cargnello, M., Bent, S., Jaramillo, T., Norskov, J., Chorkendorff, I. AMER CHEMICAL SOC. 2019
  • Area-Selective Atomic Layer Deposition Assisted by Self-Assembled Monolayers: A Comparison of Cu, Co, W, and Ru CHEMISTRY OF MATERIALS Bobb-Semple, D., Nardi, K., Draeger, N., Hausmann, D. M., Bent, S. F. 2019; 31 (5): 1635–45
  • Synthesis of Doped, Ternary, and Quaternary Materials by Atomic Layer Deposition: A Review CHEMISTRY OF MATERIALS Mackus, A. M., Schneider, J. R., MacIsaac, C., Baker, J. G., Bent, S. F. 2019; 31 (4): 1142–83
  • Role of Co2C in ZnO-promoted Co Catalysts for Alcohol Synthesis from Syngas CHEMCATCHEM Singh, J. A., Hoffman, A. S., Schumann, J., Boubnov, A., Asundi, A. S., Nathan, S. S., Norskov, J., Bare, S. R., Bent, S. F. 2019; 11 (2): 799–809
  • Stability of Tin-Lead Halide Perovskite Solar Cells Prasanna, R., Leijtens, T., Dunfield, S. P., Raiford, J. A., Wolf, E. J., Swifter, S. A., Eperon, G. E., de Paula, C., Palmstrom, A. F., van Hest, M. M., Bent, S. F., Teeter, G., Berry, J. J., McGehee, M. D., IEEE IEEE. 2019: 2359–61
  • Area-Selective Atomic Layer Deposition of Dielectric-on-Dielectric for Cu/Low-k Dielectric Patterns Liu, T., Bent, S. F., Gronheid, R., Sanders, D. P. SPIE-INT SOC OPTICAL ENGINEERING. 2019

    View details for DOI 10.1117/12.2519845

    View details for Web of Science ID 000482084200012

  • Understanding Structure-Property Relationships of MoO3-Promoted Rh Catalysts for Syngas Conversion to Alcohols. Journal of the American Chemical Society Asundi, A. S., Hoffman, A. S., Bothra, P. n., Boubnov, A. n., Vila, F. D., Yang, N. n., Singh, J. A., Zeng, L. n., Raiford, J. A., Abild-Pedersen, F. n., Bare, S. R., Bent, S. F. 2019

    Abstract

    Rh-based catalysts have shown promise for the direct conversion of syngas to higher oxygenates. Although improvements in higher oxygenate yield have been achieved by combining Rh with metal oxide promoters, details of the structure of the promoted catalyst and the role of the promoter in enhancing catalytic performance are not well understood. In this work, we show that MoO3-promoted Rh nanoparticles form a novel catalyst structure in which Mo substitutes into the Rh surface, leading to both a 66-fold increase in turnover frequency and an enhancement in oxygenate yield. By applying a combination of atomically controlled synthesis, in situ characterization, and theoretical calculations, we gain an understanding of the promoter-Rh interactions that govern catalytic performance for MoO3-promoted Rh. We use atomic layer deposition to modify Rh nanoparticles with monolayer-precise amounts of MoO3, with a high degree of control over the structure of the catalyst. Through in situ X-ray absorption spectroscopy, we find that the atomic structure of the catalytic surface under reaction conditions consists of Mo-OH species substituted into the surface of the Rh nanoparticles. Using density functional theory calculations, we identify two roles of MoO3: first, the presence of Mo-OH in the catalyst surface enhances CO dissociation and also stabilizes a methanol synthesis pathway not present in the unpromoted catalyst; and second, hydrogen spillover from Mo-OH sites to adsorbed species on the Rh surface enhances hydrogenation rates of reaction intermediates.

    View details for DOI 10.1021/jacs.9b07460

    View details for PubMedID 31724857

  • Author Correction: A rigorous electrochemical ammonia synthesis protocol with quantitative isotope measurements. Nature Andersen, S. Z., Čolić, V. n., Yang, S. n., Schwalbe, J. A., Nielander, A. C., McEnaney, J. M., Enemark-Rasmussen, K. n., Baker, J. G., Singh, A. R., Rohr, B. A., Statt, M. J., Blair, S. J., Mezzavilla, S. n., Kibsgaard, J. n., Vesborg, P. C., Cargnello, M. n., Bent, S. F., Jaramillo, T. F., Stephens, I. E., Nørskov, J. K., Chorkendorff, I. n. 2019

    Abstract

    An Amendment to this paper has been published and can be accessed via a link at the top of the paper.

    View details for DOI 10.1038/s41586-019-1625-1

    View details for PubMedID 31554972

  • Nanostructuring Strategies To Increase the Photoelectrochemical Water Splitting Activity of Silicon Photocathodes ACS APPLIED NANO MATERIALS Hellstern, T. R., Nielander, A. C., Chakthranont, P., King, L. A., Willis, J. J., Xu, S., MacIsaac, C., Hahn, C., Bent, S. F., Prinz, F. B., Jaramillo, T. F. 2019; 2 (1): 6–11
  • Theoretical and Experimental Studies of CoGa Catalysts for the Hydrogenation of CO2 to Methanol CATALYSIS LETTERS Singh, J. A., Cao, A., Schumann, J., Wang, T., Norskov, J. K., Abild-Pedersen, F., Bent, S. F. 2018; 148 (12): 3583–91
  • A Highly Active Molybdenum Phosphide Catalyst for Methanol Synthesis from CO and CO2 ANGEWANDTE CHEMIE-INTERNATIONAL EDITION Duyar, M. S., Tsai, C., Snider, J. L., Singh, J. A., Gallo, A., Yoo, J., Medford, A. J., Abild-Pedersen, F., Studt, F., Kibsgaard, J., Bent, S. F., Norskov, J. K., Jaramillo, T. F. 2018; 57 (46): 15045–50

    Abstract

    Methanol is a major fuel and chemical feedstock currently produced from syngas, a CO/CO2 /H2 mixture. Herein we identify formate binding strength as a key parameter limiting the activity and stability of known catalysts for methanol synthesis in the presence of CO2 . We present a molybdenum phosphide catalyst for CO and CO2 reduction to methanol, which through a weaker interaction with formate, can improve the activity and stability of methanol synthesis catalysts in a wide range of CO/CO2 /H2 feeds.

    View details for PubMedID 30134041

  • Encapsulating perovskite solar cells to withstand damp heat and thermal cycling SUSTAINABLE ENERGY & FUELS Cheacharoen, R., Boyd, C. C., Burkhard, G. F., Leijtens, T., Raiford, J. A., Bush, K. A., Bent, S. F., McGehee, M. D. 2018; 2 (11): 2398–2406

    View details for DOI 10.1039/c8se00250a

    View details for Web of Science ID 000448425900018

  • In situ observation of phase changes of a silica-supported cobalt catalyst for the Fischer-Tropsch process by the development of a synchrotron-compatible insitu/operando powder X-ray diffraction cell. Journal of synchrotron radiation Hoffman, A. S., Singh, J. A., Bent, S. F., Bare, S. R. 2018; 25 (Pt 6): 1673–82

    Abstract

    In situ characterization of catalysts gives direct insight into the working state of the material. Here, the design and performance characteristics of a universal insitu synchrotron-compatible X-ray diffraction cell capable of operation at high temperature and high pressure, 1373 K, and 35 bar, respectively, are reported. Its performance is demonstrated by characterizing a cobalt-based catalyst used in a prototypical high-pressure catalytic reaction, the Fischer-Tropsch synthesis, using X-ray diffraction. Cobalt nanoparticles supported on silica were studied insitu during Fischer-Tropsch catalysis using syngas, H2 and CO, at 723 K and 20 bar. Post reaction, the Co nanoparticles were carburized at elevated pressure, demonstrating an increased rate of carburization compared with atmospheric studies.

    View details for PubMedID 30407177

  • Tin-lead halide perovskites with improved thermal and air stability for efficient all-perovskite tandem solar cells SUSTAINABLE ENERGY & FUELS Leijtens, T., Prasanna, R., Bush, K. A., Eperon, G. E., Raiford, J. A., Gold-Parker, A., Wolf, E. J., Swifter, S. A., Boyd, C. C., Wang, H., Toney, M. F., Bent, S. F., McGehee, M. D. 2018; 2 (11): 2450–59

    View details for DOI 10.1039/c8se00314a

    View details for Web of Science ID 000448425900009

  • Optical modeling of wide-bandgap perovskite and perovskite/silicon tandem solar cells using complex refractive indices for arbitrary-bandgap perovskite absorbers OPTICS EXPRESS Manzoor, S., Haeusele, J., Bush, K. A., Palmstrom, A. F., Carpenter, J., Yu, Z. J., Bent, S. F., Mcgehee, M. D., Holman, Z. C. 2018; 26 (21): 27441–60
  • Minimizing Current and Voltage Losses to Reach 25% Efficient Monolithic Two-Termina Perovskite-Silicon Tandem Solar Cells ACS ENERGY LETTERS Bush, K. A., Manzoor, S., Frohna, K., Yu, Z. J., Raiford, J. A., Palmstrom, A. F., Wang, H., Prasanna, R., Bent, S. F., Holman, Z. C., McGehee, M. D. 2018; 3 (9): 2173–80
  • Formation and Ripening of Self-Assembled Multilayers from the Vapor-Phase Deposition of Dodecanethiol on Copper Oxide CHEMISTRY OF MATERIALS Bergsman, D. S., Liu, T., Closser, R. G., Nardi, K. L., Draeger, N., Hausmann, D. M., Bent, S. F. 2018; 30 (16): 5694–5703
  • Interfacial Effects of Tin Oxide Atomic Layer Deposition in Metal Halide Perovskite Photovoltaics ADVANCED ENERGY MATERIALS Palmstrom, A. F., Raiford, J. A., Prasanna, R., Bush, K. A., Sponseller, M., Cheacharoen, R., Minichetti, M. C., Bergsman, D. S., Leijtens, T., Wang, H., Bulovic, V., McGehee, M. D., Bent, S. F. 2018; 8 (23)
  • Molecular Layer Deposition of a Highly Stable Silicon Oxycarbide Thin Film Using an Organic Chlorosilane and Water ACS APPLIED MATERIALS & INTERFACES Closser, R. G., Bergsman, D. S., Bent, S. F. 2018; 10 (28): 24266–74

    Abstract

    In this study, molecular layer deposition (MLD) was used to deposit ultrathin films of methylene-bridged silicon oxycarbide (SiOC) using bis(trichlorosilyl)methane and water as precursors at room temperature. By utilizing bifunctional trichlorosilane precursors, films of SiOC can be deposited in a layer-by-layer manner, wherein a water co-reactant circumvents the need for plasma, high temperatures, or highly oxidizing precursors. In this manner, films could be grown without the degradation commonly seen in other SiOC deposition methods. Saturation behavior for both precursors was confirmed for the MLD process, and a constant growth rate of 0.5 ± 0.1 Å/cycle was determined. X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy were used to verify the reaction between precursors and to gain insight into the final film composition. Unlike most MLD films, which grow polymers in a linear fashion, XPS analysis indicates that neighboring silanol groups within the films tend to condense, forming a highly cross-linked network structure, whereby, on average, two-thirds of silanol groups undergo a condensation reaction. Further indication of cross-linking is seen by XPS during in situ annealing, which shows exceptional temperature stability of the film up to 600 °C in vacuum, in contrast to linear SiOC films, which are known to degrade below this temperature. The films also exhibit high chemical stability against acids, bases, and solvents. A film density of 1.4 g/cm3 was measured by X-ray reflectivity, while the dielectric constant and refractive index were determined to be 2.6 ± 0.3 and 1.6 ± 0.1, respectively, at a 633 nm wavelength. The low dielectric constant, high ease of deposition, and exceptional thermal and chemical stabilities of this MLD SiOC film suggest that it may have potential applications for electronic devices.

    View details for DOI 10.1021/acsami.8b06057

    View details for Web of Science ID 000439528400094

    View details for PubMedID 29965720

  • Atomic and Molecular Layer Deposition of Hybrid Mo-Thiolate Thin Films with Enhanced Catalytic Activity ADVANCED FUNCTIONAL MATERIALS MacIsaac, C., Schneider, J. R., Closser, R. G., Hellstern, T. R., Bergsman, D. S., Park, J., Liu, Y., Sinclair, R., Bent, S. F. 2018; 28 (26)
  • Copper interstitial recombination centers in Cu3N PHYSICAL REVIEW B Yee, Y., Inoue, H., Hultqvist, A., Hanifi, D., Salleo, A., Magyari-Kope, B., Nishi, Y., Bent, S. F., Clemens, B. M. 2018; 97 (24)
  • Area-Selective Atomic Layer Deposition of Metal Oxides on Noble Metals through Catalytic Oxygen Activation CHEMISTRY OF MATERIALS Singh, J. A., Thissen, N. W., Kim, W., Johnson, H., Kessels, W. M., Bol, A. A., Bent, S. F., Mackus, A. M. 2018; 30 (3): 663–70

    Abstract

    Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth.

    View details for PubMedID 29503508

    View details for PubMedCentralID PMC5828705

  • Photoelectrochemical Water Oxidation by GaAs Nanowire Arrays Protected with Atomic Layer Deposited NiO (x) Electrocatalysts Zeng, J., Xu, X., Parameshwaran, V., Baker, J., Bent, S., Wong, H., Clemens, B. SPRINGER. 2018: 932–37
  • Understanding the Active Sites of CO Hydrogenation on Pt-Co Catalysts Prepared Using Atomic Layer Deposition JOURNAL OF PHYSICAL CHEMISTRY C Singh, J. A., Yang, N., Liu, X., Tsai, C., Stone, K. H., Johnson, B., Koh, A., Bent, S. F. 2018; 122 (4): 2184–94
  • The Role of Sodium in Tuning Product Distribution in Syngas Conversion by Rh Catalysts CATALYSIS LETTERS Yang, N., Liu, X., Asundi, A. S., Norskov, J. K., Bent, S. F. 2018; 148 (1): 289–97
  • Optical and Compositional Engineering of Wide Band Gap Perovskites with Improved Stability to Photoinduced Phase Segregation for Efficient Monolithic Perovskite/Silicon Tandem Solar Cells Bush, K. A., Palmstrom, A. F., Yu, Z. J., Frohna, K., Manzoor, S., Ali, A., Ali, W., Prasanna, R., Beal, R. E., Leijtens, T., Bent, S. F., Holman, Z., McGehee, M. D., IEEE IEEE. 2018: 0189–91
  • Thermal adsorption-enhanced atomic layer etching of Si3N4 JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Kim, W., Sung, D., Oh, S., Woo, J., Lim, S., Lee, H., Bent, S. F. 2018; 36 (1)

    View details for DOI 10.1116/1.5003271

    View details for Web of Science ID 000418961400030

  • Photoactivated Molecular Layer Deposition through Iodo-Ene Coupling Chemistry CHEMISTRY OF MATERIALS Lillethorup, M., Bergsman, D. S., Sandoval, T. E., Bent, S. F. 2017; 29 (23): 9897–9906
  • Improved light management in planar silicon and perovskite solar cells using PDMS scattering layer Manzoor, S., Yu, Z. J., Ali, A., Ali, W., Bush, K. A., Palmstrom, A. F., Bent, S. F., McGehee, M. D., Holman, Z. C. ELSEVIER SCIENCE BV. 2017: 59–65
  • Chemisorption of Organic Triols on Ge(100)-2 x 1 Surface: Effect of Backbone Structure on Adsorption of Trifunctional Molecules JOURNAL OF PHYSICAL CHEMISTRY C Sandoval, T. E., Bent, S. F. 2017; 121 (46): 25978–85
  • Autocatalytic Dissociative Adsorption of Imidazole on the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Kachian, J., Bent, S. F. 2017; 121 (38): 20905–10
  • Rh-MnO Interface Sites Formed by Atomic Layer Deposition Promote Syngas Conversion to Higher Oxygenates ACS CATALYSIS Yang, N., Yoo, J., Schumann, J., Bothra, P., Singh, J. A., Valle, E., Abild-Pedersen, F., Norskov, J. K., Bent, S. F. 2017; 7 (9): 5746–57
  • Investigation of inherent differences between oxide supports in heterogeneous catalysis in the absence of structural variations JOURNAL OF CATALYSIS Yang, N., Bent, S. F. 2017; 351: 49–58
  • Nanoengineering Heterogeneous Catalysts by Atomic Layer Deposition. Annual review of chemical and biomolecular engineering Singh, J. A., Yang, N., Bent, S. F. 2017; 8: 41-62

    Abstract

    A new generation of catalysts is needed to meet society's energy and resource requirements. Current catalyst synthesis does not fully achieve optimum control of composition, size, and structure. Atomic layer deposition (ALD) is an emerging technique that allows for synthesis of highly controlled catalysts in the form of films, nanoparticles, and single sites. The addition of ALD coatings can also be used to introduce promoters and improve the stability of traditional catalysts. Evolving research shows promise for applying ALD to understand catalytically active sites and create next-generation catalysts using advanced 3D nanostructures.

    View details for DOI 10.1146/annurev-chembioeng-060816-101547

    View details for PubMedID 28301732

  • Adsorption of Homotrifunctional 1,2,3-Benzenetriol on a Ge(100)-2 × 1 Surface. Langmuir Sandoval, T. E., Bent, S. F. 2017

    Abstract

    The adsorption of the homotrifunctional 1,2,3-benzenetriol on Ge(100)-2 × 1 has been investigated by density functional theory calculations, Fourier transform infrared spectroscopy, and X-ray-photoelectron spectroscopy. The results show that the adsorption can occur through OH dissociation of all three hydroxyl groups, and that all three reaction pathways are kinetically and thermodynamically favorable. A coverage-dependent analysis shows that at low coverage, the molecule reacts to form a mix of trifold and dually bound adsorbates. As the coverage increases, the reactions are limited to dissociative adsorption through single and dual attachments. Calculations on the three possible dually bound configurations further reveals that the dissociative adsorption of the third hydroxyl group is limited by geometrical constraints to only two reaction channels. Finally, the proximity between OH-groups in the molecule favors intra- and intermolecular hydrogen bonding, which stabilizes singly and dually bound adsorbate configurations and limits the reactivity of the functional groups.

    View details for DOI 10.1021/acs.langmuir.7b00872

    View details for PubMedID 28574269

  • Formation of Germa-ketenimine on the Ge(100) Surface by Adsorption of tert-Butyl Isocyanide. Journal of the American Chemical Society Shong, B., Yoo, J. S., Sandoval, T. E., Bent, S. F. 2017

    Abstract

    Reactions of the (100) surfaces of Ge and Si with organic molecules have been generally understood within the concept of "dimers" formed by the 2 × 1 surface reconstruction. In this work, the adsorption of tert-butyl isocyanide on the Ge(100)-2 × 1 surface at large exposures is investigated under ultrahigh vacuum conditions. A combination of infrared spectroscopy, X-ray photoelectron spectroscopy, and temperature-programmed desorption experiments along with dispersion-corrected density functional theory calculations is used to determine the surface products. Upon adsorption of a dense monolayer of tert-butyl isocyanide, a product whose structure resembles a germa-ketenimine (N=C=Ge) with σ donation toward and π back-donation from the Ge(100) surface appears. Formation of this structure involves divalent-type surface Ge atoms that arise from cleavage of the Ge(100)-2 × 1 surface dimers. Our results reveal an unprecedented class of reactions of organic molecules at the Ge(100) surface.

    View details for DOI 10.1021/jacs.7b04755

    View details for PubMedID 28560877

  • Correcting defects in area selective molecular layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Closser, R. G., Bergsman, D. S., Ruelas, L., Hashemi, F. S., Bent, S. F. 2017; 35 (3)

    View details for DOI 10.1116/1.4980049

    View details for Web of Science ID 000401122700031

  • New strategies for selective deposition of nanoscale materials Hashemi, F., Bobb-Semple, D., Bent, S. AMER CHEMICAL SOC. 2017
  • Development of photo-activated iodo-ene reaction for molecular layer deposition Lillethorup, M., Bergsman, D., Bent, S. AMER CHEMICAL SOC. 2017
  • Modifying catalysts using atomic layer deposition Yang, N., Asundi, A., Bent, S. AMER CHEMICAL SOC. 2017
  • Molecular layer deposition of ultrathin manganese oxide hybrid materials for catalysis applications Bergsman, D., Baker, J., Yang, N., MacIsaac, C., Strickler, A., Lillethorup, M., Bent, S. AMER CHEMICAL SOC. 2017
  • Nanostructured tandem Si-Ta3N5 photoanodes for solar water splitting Narkeviciute, I., Chakthranont, P., Mackus, A., Hahn, C., Pinaud, B., Bent, S., Jaramillo, T. AMER CHEMICAL SOC. 2017
  • 23.6%-efficient monolithic perovskite/silicon tandem solar cells with improved stability NATURE ENERGY Bush, K. A., Palmstrom, A. F., Yu, Z. J., Boccard, M., Cheacharoen, R., Mailoa, J. P., McMeekin, D. P., Hoye, R. Z., Bailie, C. D., Leijtens, T., Peters, I., Minichetti, M. C., Rolston, N., Prasanna, R., Sofia, S., Harwood, D., Ma, W., Moghadam, F., Snaith, H. J., Buonassisi, T., Holman, Z. C., Bent, S. F., McGehee, M. D. 2017; 2 (4)
  • Effect of Backbone Chemistry on the Structure of Polyurea Films Deposited by Molecular Layer Deposition CHEMISTRY OF MATERIALS Bergsman, D. S., Closser, R. G., Tassone, C. J., Clemens, B. M., Nordlund, D., Bent, S. F. 2017; 29 (3): 1192-1203
  • Incomplete elimination of precursor ligands during atomic layer deposition of zinc-oxide, tin-oxide, and zinc-tin-oxide. journal of chemical physics Mackus, A. J., MacIsaac, C., Kim, W., Bent, S. F. 2017; 146 (5): 052802-?

    Abstract

    For atomic layer deposition (ALD) of doped, ternary, and quaternary materials achieved by combining multiple binary ALD processes, it is often difficult to correlate the material properties and growth characteristics with the process parameters due to a limited understanding of the underlying surface chemistry. In this work, in situ Fourier transform infrared (FTIR) spectroscopy was employed during ALD of zinc-oxide, tin-oxide, and zinc-tin-oxide (ZTO) with the precursors diethylzinc (DEZ), tetrakis(dimethylamino)tin (TDMASn), and H2O. The main aim was to investigate the molecular basis for the nucleation delay during ALD of ZTO, observed when ZnO ALD is carried out after SnO2 ALD. Gas-phase FTIR spectroscopy showed that dimethylamine, the main reaction product of the SnO2 ALD process, is released not only during SnO2 ALD but also when depositing ZnO after SnO2, indicating incomplete removal of the ligands of the TDMASn precursor from the surface. Transmission FTIR spectroscopy performed during ALD on SiO2 powder revealed that a significant fraction of the ligands persist during both SnO2 and ZnO ALD. These observations provide experimental evidence for a recently proposed mechanism, based on theoretical calculations, suggesting that the elimination of precursor ligands is often not complete. In addition, it was found that the removal of precursor ligands by H2O exposure is even less effective when ZnO ALD is carried out after SnO2 ALD, which likely causes the nucleation delay in ZnO ALD during the deposition of ZTO. The underlying mechanisms and the consequences of the incomplete elimination of precursor ligands are discussed.

    View details for DOI 10.1063/1.4961459

    View details for PubMedID 28178803

  • Buffer Layer Point Contacts for CIGS Solar Cells Using Nanosphere Lithography and Atomic Layer Deposition IEEE JOURNAL OF PHOTOVOLTAICS Hultqvist, A., Sone, T., Bent, S. F. 2017; 7 (1): 322-328
  • Photoanodes for Photoelectrochemical Water Splitting. Nano letters Narkeviciute, I., Chakthranont, P., Mackus, A. J., Hahn, C., Pinaud, B. A., Bent, S. F., Jaramillo, T. F. 2016; 16 (12): 7565-7572

    Abstract

    Nanostructured core-shell Si-Ta3N5 photoanodes were designed and synthesized to overcome charge transport limitations of Ta3N5 for photoelectrochemical water splitting. The core-shell devices were fabricated by atomic layer deposition of amorphous Ta2O5 onto nanostructured Si and subsequent nitridation to crystalline Ta3N5. Nanostructuring with a thin shell of Ta3N5 results in a 10-fold improvement in photocurrent compared to a planar device of the same thickness. In examining thickness dependence of the Ta3N5 shell from 10 to 70 nm, superior photocurrent and absorbed-photon-to-current efficiencies are obtained from the thinner Ta3N5 shells, indicating minority carrier diffusion lengths on the order of tens of nanometers. The fabrication of a heterostructure based on a semiconducting, n-type Si core produced a tandem photoanode with a photocurrent onset shifted to lower potentials by 200 mV. CoTiOx and NiOx water oxidation cocatalysts were deposited onto the Si-Ta3N5 to yield active photoanodes that with NiOx retained 50-60% of their maximum photocurrent after 24 h chronoamperometry experiments and are thus among the most stable Ta3N5 photoanodes reported to date.

    View details for PubMedID 27960454

  • Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns. ACS applied materials & interfaces Minaye Hashemi, F. S., Birchansky, B. R., Bent, S. F. 2016; 8 (48): 33264-33272

    Abstract

    Area selective atomic layer deposition has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. In this paper, we demonstrate selective deposition of dielectrics on metal/dielectric patterns by protecting metal surfaces using alkanethiol blocking layers. We examine alkanethiol self-assembled monolayers (SAMs) with two different chain lengths deposited both in vapor and in solution and show that in both systems, thiols have the ability to block surfaces against dielectric deposition. We show that thiol molecules can displace Cu oxide, opening possibilities for easier sample preparation. A vapor-deposited alkanethiol SAM is shown to be more effective than a solution-deposited SAM in blocking ALD, even after only 30 s of exposure. The vapor deposition also results in a much better thiol regeneration process and may facilitate deposition of the SAMs on porous or three-dimensional structures, allowing for the fabrication of next generation electronic devices.

    View details for PubMedID 27934166

  • Selective Deposition of Dielectrics: Limits and Advantages of Alkanethiol Blocking Agents on Metal-Dielectric Patterns ACS APPLIED MATERIALS & INTERFACES Hashemi, F. S., Birchansky, B. R., Bent, S. F. 2016; 8 (48): 33264-33272

    Abstract

    Area selective atomic layer deposition has the potential to significantly improve current fabrication approaches by introducing a bottom-up process in which robust and conformal thin films are selectively deposited onto patterned substrates. In this paper, we demonstrate selective deposition of dielectrics on metal/dielectric patterns by protecting metal surfaces using alkanethiol blocking layers. We examine alkanethiol self-assembled monolayers (SAMs) with two different chain lengths deposited both in vapor and in solution and show that in both systems, thiols have the ability to block surfaces against dielectric deposition. We show that thiol molecules can displace Cu oxide, opening possibilities for easier sample preparation. A vapor-deposited alkanethiol SAM is shown to be more effective than a solution-deposited SAM in blocking ALD, even after only 30 s of exposure. The vapor deposition also results in a much better thiol regeneration process and may facilitate deposition of the SAMs on porous or three-dimensional structures, allowing for the fabrication of next generation electronic devices.

    View details for DOI 10.1021/acsami.6b09960

    View details for Web of Science ID 000389624600073

  • Tandem Core-Shell Si-Ta3N5 Photoanodes for Photoelectrochemical Water Splitting NANO LETTERS Narkeviciute, I., Chakthranont, P., Mackus, A. J., Hahn, C., Pinaud, B. A., Bent, S. F., Jaramillo, T. F. 2016; 16 (12): 7565-7572

    Abstract

    Nanostructured core-shell Si-Ta3N5 photoanodes were designed and synthesized to overcome charge transport limitations of Ta3N5 for photoelectrochemical water splitting. The core-shell devices were fabricated by atomic layer deposition of amorphous Ta2O5 onto nanostructured Si and subsequent nitridation to crystalline Ta3N5. Nanostructuring with a thin shell of Ta3N5 results in a 10-fold improvement in photocurrent compared to a planar device of the same thickness. In examining thickness dependence of the Ta3N5 shell from 10 to 70 nm, superior photocurrent and absorbed-photon-to-current efficiencies are obtained from the thinner Ta3N5 shells, indicating minority carrier diffusion lengths on the order of tens of nanometers. The fabrication of a heterostructure based on a semiconducting, n-type Si core produced a tandem photoanode with a photocurrent onset shifted to lower potentials by 200 mV. CoTiOx and NiOx water oxidation cocatalysts were deposited onto the Si-Ta3N5 to yield active photoanodes that with NiOx retained 50-60% of their maximum photocurrent after 24 h chronoamperometry experiments and are thus among the most stable Ta3N5 photoanodes reported to date.

    View details for DOI 10.1021/acs.nanolett.6b03408

    View details for Web of Science ID 000389963200037

  • Perovskite-perovskite tandem photovoltaics with optimized band gaps SCIENCE Eperon, G. E., Leijtens, T., Bush, K. A., Prasanna, R., Green, T., Wang, J. T., McMeekin, D. P., Volonakis, G., Milot, R. L., May, R., Palmstrom, A., Slotcavage, D. J., Belisle, R. A., Patel, J. B., Parrott, E. S., Sutton, R. J., Ma, W., Moghadam, F., Conings, B., Babayigit, A., Boyen, H., Bent, S., Giustino, F., Herz, L. M., Johnston, M. B., McGehee, M. D., Snaith, H. J. 2016; 354 (6314): 861-865

    Abstract

    We demonstrate four- and two-terminal perovskite-perovskite tandem solar cells with ideally matched band gaps. We develop an infrared-absorbing 1.2-electron volt band-gap perovskite, FA0.75Cs0.25Sn0.5Pb0.5I3, that can deliver 14.8% efficiency. By combining this material with a wider-band gap FA0.83Cs0.17Pb(I0.5Br0.5)3 material, we achieve monolithic two-terminal tandem efficiencies of 17.0% with >1.65-volt open-circuit voltage. We also make mechanically stacked four-terminal tandem cells and obtain 20.3% efficiency. Notably, we find that our infrared-absorbing perovskite cells exhibit excellent thermal and atmospheric stability, not previously achieved for Sn-based perovskites. This device architecture and materials set will enable "all-perovskite" thin-film solar cells to reach the highest efficiencies in the long term at the lowest costs.

    View details for DOI 10.1126/science.aaf9717

    View details for Web of Science ID 000388531900034

    View details for PubMedID 27856902

  • Sequential Regeneration of Self-Assembled Monolayers for Highly Selective Atomic Layer Deposition ADVANCED MATERIALS INTERFACES Hashemi, F. S., Bent, S. F. 2016; 3 (21)
  • Impact of Conformality and Crystallinity for Ultrathin 4 nm Compact TiO2 Layers in Perovskite Solar Cells ADVANCED MATERIALS INTERFACES Roelofs, K. E., Pool, V. L., Bobb-Semple, D. A., Palmstrom, A. F., Santra, P. K., Van Campen, D. G., Toney, M. F., Bent, S. F. 2016; 3 (21)
  • Molecular Ligands Control Superlattice Structure and Crystallite Orientation in Colloidal Quantum Dot Solids CHEMISTRY OF MATERIALS Santra, P. K., Palmstrom, A. F., Tassone, C. J., Bent, S. F. 2016; 28 (19): 7072-7081
  • Adsorption of heterobifunctional 4-nitrophenol on the Ge(100)-2 x 1 surface SURFACE SCIENCE Shong, B., Hellstern, T. R., Bent, S. F. 2016; 650: 279-284
  • Tailoring Mixed-Halide, Wide-Gap Perovskites via Multistep Conversion Process ACS APPLIED MATERIALS & INTERFACES Bae, D., Palmstrom, A., Roelofs, K., Mei, B., Chorkendorff, I., Bent, S. F., Vesborg, P. C. 2016; 8 (23): 14301-14306

    Abstract

    Wide-band-gap mixed-halide CH3NH3PbI3-XBrX-based solar cells have been prepared by means of a sequential spin-coating process. The spin-rate for PbI2 as well as its repetitive deposition are important in determining the cross-sectional shape and surface morphology of perovskite, and, consequently, J-V performance. A perovskite solar cell converted from PbI2 with a dense bottom layer and porous top layer achieved higher device performance than those of analogue cells with a dense PbI2 top layer. This work demonstrates a facile way to control PbI2 film configuration and morphology simply by modification of spin-coating parameters without any additional chemical or thermal post-treatment.

    View details for DOI 10.1021/acsami.6b01246

    View details for Web of Science ID 000378195000001

    View details for PubMedID 27227816

  • A Process for Topographically Selective Deposition on 3D Nanostructures by Ion Implantation ACS NANO Kim, W., Hashemi, F. S., Mackus, A. J., Singh, J., Kim, Y., Bobb-Semple, D., Fan, Y., Kaufman-Osborn, T., Godet, L., Bent, S. F. 2016; 10 (4): 4451-4458

    Abstract

    Area-selective atomic layer deposition (AS-ALD) is attracting increasing interest because of its ability to enable both continued dimensional scaling and accurate pattern placement for next-generation nanoelectronics. Here we report a strategy for depositing material onto three-dimensional (3D) nanostructures with topographic selectivity using an ALD process with the aid of an ultrathin hydrophobic surface layer. Using ion implantation of fluorocarbons (CFx), a hydrophobic interfacial layer is formed, which in turn causes significant retardation of nucleation during ALD. We demonstrate the process for Pt ALD on both blanket and 2D patterned substrates. We extend the process to 3D structures, demonstrating that this method can achieve selective anisotropic deposition, selectively inhibiting Pt deposition on deactivated horizontal regions while ensuring that only vertical surfaces are decorated during ALD. The efficacy of the approach for metal oxide ALD also shows promise, though further optimization of the implantation conditions is required. The present work advances practical applications that require area-selective coating of surfaces in a variety of 3D nanostructures according to their topographical orientation.

    View details for DOI 10.1021/acsnano.6b00094

    View details for Web of Science ID 000375245000063

    View details for PubMedID 26950397

  • Intrinsic Selectivity and Structure Sensitivity of Rhodium Catalysts for C2+ Oxygenate Production. Journal of the American Chemical Society Yang, N., Medford, A. J., Liu, X., Studt, F., Bligaard, T., Bent, S. F., Nørskov, J. K. 2016; 138 (11): 3705-3714

    Abstract

    Synthesis gas (CO + H2) conversion is a promising route to converting coal, natural gas, or biomass into synthetic liquid fuels. Rhodium has long been studied as it is the only elemental catalyst that has demonstrated selectivity to ethanol and other C2+ oxygenates. However, the fundamentals of syngas conversion over rhodium are still debated. In this work a microkinetic model is developed for conversion of CO and H2 into methane, ethanol, and acetaldehyde on the Rh (211) and (111) surfaces, chosen to describe steps and close-packed facets on catalyst particles. The model is based on DFT calculations using the BEEF-vdW functional. The mean-field kinetic model includes lateral adsorbate-adsorbate interactions, and the BEEF-vdW error estimation ensemble is used to propagate error from the DFT calculations to the predicted rates. The model shows the Rh(211) surface to be ∼6 orders of magnitude more active than the Rh(111) surface, but highly selective toward methane, while the Rh(111) surface is intrinsically selective toward acetaldehyde. A variety of Rh/SiO2 catalysts are synthesized, tested for catalytic oxygenate production, and characterized using TEM. The experimental results indicate that the Rh(111) surface is intrinsically selective toward acetaldehyde, and a strong inverse correlation between catalytic activity and oxygenate selectivity is observed. Furthermore, iron impurities are shown to play a key role in modulating the selectivity of Rh/SiO2 catalysts toward ethanol. The experimental observations are consistent with the structure-sensitivity predicted from theory. This work provides an improved atomic-scale understanding and new insight into the mechanism, active site, and intrinsic selectivity of syngas conversion over rhodium catalysts and may also guide rational design of alloy catalysts made from more abundant elements.

    View details for DOI 10.1021/jacs.5b12087

    View details for PubMedID 26958997

  • Atomic layer deposited transition metal oxides as active electrocatalysts for the oxygen evolution reaction Nardi, K., Baker, J., Mackus, A., Bent, S. AMER CHEMICAL SOC. 2016
  • Growth, intermixing, and surface phase formation for zinc tin oxide nanolaminates produced by atomic layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Hagglund, C., Grehl, T., Tanskanen, J. T., Yee, Y. S., Mullings, M. N., Mackus, A. J., Maclsaac, C., Clemens, B. M., Brongersma, H. H., Bent, S. F. 2016; 34 (2)

    View details for DOI 10.1116/1.4941411

    View details for Web of Science ID 000372352300038

  • Strong Coupling of Plasmon and Nanocavity Modes for Dual-Band, Near-Perfect Absorbers and Ultrathin Photovoltaics ACS PHOTONICS Haegglund, C., Zeltzer, G., Ruiz, R., Wangperawong, A., Roelofs, K. E., Bent, S. F. 2016; 3 (3): 456-463
  • Polysulfide ligand exchange on zinc sulfide nanocrystal surfaces for improved film formation APPLIED SURFACE SCIENCE Herron, S. M., Lawal, Q. O., Bent, S. F. 2015; 359: 106-113
  • Quantifying Geometric Strain at the PbS QD-TiO2 Anode Interface and Its Effect on Electronic Structures NANO LETTERS Trejo, O., Roelofs, K. E., Xu, S., Logar, M., Sarangi, R., Nordlund, D., Dadlani, A. L., Kravec, R., Dasgupta, N. P., Bent, S. F., Prinz, F. B. 2015; 15 (12): 7829-7836

    Abstract

    Quantum dots (QDs) show promise as the absorber in nanostructured thin film solar cells, but achieving high device efficiencies requires surface treatments to minimize interfacial recombination. In this work, lead sulfide (PbS) QDs are grown on a mesoporous TiO2 film with a crystalline TiO2 surface, versus one coated with an amorphous TiO2 layer by atomic layer deposition (ALD). These mesoporous TiO2 films sensitized with PbS QDs are characterized by X-ray and electron diffraction, as well as X-ray absorption spectroscopy (XAS) in order to link XAS features with structural distortions in the PbS QDs. The XAS features are further analyzed with quantum simulations to probe the geometric and electronic structure of the PbS QD-TiO2 interface. We show that the anatase TiO2 surface structure induces PbS bond angle distortions, which increases the energy gap of the PbS QDs at the interface.

    View details for DOI 10.1021/acs.nanolett.5b02373

    View details for Web of Science ID 000366339600008

    View details for PubMedID 26554814

  • Deep recombination centers in Cu2ZnSnSe4 revealed by screened-exchange hybrid density functional theory PHYSICAL REVIEW B Yee, Y. S., Magyari-Koepe, B., Nishi, Y., Bent, S. F., Clemens, B. M. 2015; 92 (19)
  • Formation of Continuous Pt Films on the Graphite Surface by Atomic Layer Deposition with Reactive O-3 CHEMISTRY OF MATERIALS Lee, H., Bent, S. F. 2015; 27 (19): 6802-6809
  • Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition. ACS nano Minaye Hashemi, F. S., Prasittichai, C., Bent, S. F. 2015; 9 (9): 8710-8717

    Abstract

    Nanoscale patterning of materials is widely used in a variety of device applications. Area selective atomic layer deposition (ALD) has shown promise for deposition of patterned structures with subnanometer thickness control. However, the current process is limited in its ability to achieve good selectivity for thicker films formed at higher number of ALD cycles. In this report, we demonstrate a strategy for achieving selective film deposition via a self-correcting process on patterned Cu/SiO2 substrates. We employ the intrinsically selective adsorption of octadecylphosphonic acid self-assembled monolayers on Cu over SiO2 surfaces to selectively create a resist layer only on Cu. ALD is then performed on the patterns to deposit a dielectric film. A mild etchant is subsequently used to selectively remove any residual dielectric film deposited on the Cu surface while leaving the dielectric film on SiO2 unaffected. The selectivity achieved after this treatment, measured by compositional analysis, is found to be 10 times greater than for conventional area selective ALD.

    View details for DOI 10.1021/acsnano.5b03125

    View details for PubMedID 26181140

  • Creating Highly Active Atomic Layer Deposited NiO Electrocatalysts for the Oxygen Evolution Reaction ADVANCED ENERGY MATERIALS Nardi, K. L., Yang, N., Dickens, C. F., Strickler, A. L., Bent, S. F. 2015; 5 (17)
  • Increased Quantum Dot Loading by pH Control Reduces Interfacial Recombination in Quantum-Dot-Sensitized Solar Cells. ACS nano Roelofs, K. E., Herron, S. M., Bent, S. F. 2015; 9 (8): 8321-8334

    Abstract

    The power conversion efficiency of quantum-dot-sensitized solar cells (QDSSCs) hinges on interfacial charge transfer. Increasing quantum dot (QD) loading on the TiO2 anode has been proposed as a means to block recombination of electrons in the TiO2 to the hole transport material; however, it is not known whether a corresponding increase in QD-mediated recombination processes might lead to an overall higher rate of recombination. In this work, a 3-fold increase in PbS QD loading was achieved by the addition of an aqueous base to negatively charge the TiO2 surface during Pb cation deposition. Increased QD loading improved QDSSC device efficiencies through both increased light absorption and an overall reduction in recombination. Unexpectedly, we also found increased QD size had the detrimental effect of increasing recombination. Kinetic modeling of the effect of QD size on interfacial charge transfer processes provided qualitative agreement with the observed variation in recombination lifetimes. These results demonstrate a robust method of improving QD loading, identify the specific mechanisms by which increased QD deposition impacts device performance, and provide a framework for future efforts optimizing the device architecture of QDSSCs.

    View details for DOI 10.1021/acsnano.5b02853

    View details for PubMedID 26244426

  • Atomic layer deposition in nanostructured photovoltaics: tuning optical, electronic and surface properties. Nanoscale Palmstrom, A. F., Santra, P. K., Bent, S. F. 2015; 7 (29): 12266-83

    Abstract

    Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.

    View details for DOI 10.1039/c5nr02080h

    View details for PubMedID 26147328

  • Increased Quantum Dot Loading by pH Control Reduces Interfacial Recombination in Quantum-Dot-Sensitized Solar Cells ACS NANO Roelofs, K. E., Herron, S. M., Bent, S. F. 2015; 9 (8): 8321-8334

    Abstract

    The power conversion efficiency of quantum-dot-sensitized solar cells (QDSSCs) hinges on interfacial charge transfer. Increasing quantum dot (QD) loading on the TiO2 anode has been proposed as a means to block recombination of electrons in the TiO2 to the hole transport material; however, it is not known whether a corresponding increase in QD-mediated recombination processes might lead to an overall higher rate of recombination. In this work, a 3-fold increase in PbS QD loading was achieved by the addition of an aqueous base to negatively charge the TiO2 surface during Pb cation deposition. Increased QD loading improved QDSSC device efficiencies through both increased light absorption and an overall reduction in recombination. Unexpectedly, we also found increased QD size had the detrimental effect of increasing recombination. Kinetic modeling of the effect of QD size on interfacial charge transfer processes provided qualitative agreement with the observed variation in recombination lifetimes. These results demonstrate a robust method of improving QD loading, identify the specific mechanisms by which increased QD deposition impacts device performance, and provide a framework for future efforts optimizing the device architecture of QDSSCs.

    View details for DOI 10.1021/acsnano.5b02853

    View details for Web of Science ID 000360323300061

  • Reducing interface recombination for Cu(In,Ga)Se-2 by atomic layer deposited buffer layers APPLIED PHYSICS LETTERS Hultqvist, A., Li, J. V., Kuciauskas, D., Dippo, P., Contreras, M. A., Levi, D. H., Bent, S. F. 2015; 107 (3)

    View details for DOI 10.1063/1.4927096

    View details for Web of Science ID 000358675600070

  • Investigating the function of metal oxide promoters on supported Rh catalysts for syngas conversion to oxygenates through surface and interface modification Yang, N., Fleischman, S., Wang, P., Bent, S. AMER CHEMICAL SOC. 2015
  • Unidirectional Adsorption of Bifunctional 1,4-Phenylene Diisocyanide on the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY LETTERS Shong, B., Sandoval, T. E., Crow, A. M., Bent, S. F. 2015; 6 (6): 1037-1041

    Abstract

    Adsorption of bifunctional organic molecules on semiconductor surfaces is important for surface modification; however, most bifunctional molecules previously studied have yielded mixtures of singly and dually tethered adsorbates. Here we report the adsorption of bifunctional 1,4-phenylene diisocyanide (PDI) on the Ge(100)-2 × 1 surface, in which singly bound adsorbates are selectively produced. As shown by polarized multiple internal reflection infrared spectroscopy experiments and density functional theory calculations, PDI adsorbates form a single C-dative bonding configuration through one of the isocyanide functionalities, retaining one unreacted isocyanide moiety per adsorbate. The angle of the molecular axis is ∼30° from the surface normal. The delocalized π* molecular orbital of the free molecule is also preserved upon adsorption. These results demonstrate the potential usefulness of isocyanide adsorbates as a means toward selective organic functionalization of semiconductor surfaces.

    View details for DOI 10.1021/acs.jpclett.5b00098

    View details for Web of Science ID 000351563100022

  • Unidirectional Adsorption of Bifunctional 1,4-Phenylene Diisocyanide on the Ge(100)-2 × 1 Surface. journal of physical chemistry letters Shong, B., Sandoval, T. E., Crow, A. M., Bent, S. F. 2015; 6 (6): 1037-1041

    Abstract

    Adsorption of bifunctional organic molecules on semiconductor surfaces is important for surface modification; however, most bifunctional molecules previously studied have yielded mixtures of singly and dually tethered adsorbates. Here we report the adsorption of bifunctional 1,4-phenylene diisocyanide (PDI) on the Ge(100)-2 × 1 surface, in which singly bound adsorbates are selectively produced. As shown by polarized multiple internal reflection infrared spectroscopy experiments and density functional theory calculations, PDI adsorbates form a single C-dative bonding configuration through one of the isocyanide functionalities, retaining one unreacted isocyanide moiety per adsorbate. The angle of the molecular axis is ∼30° from the surface normal. The delocalized π* molecular orbital of the free molecule is also preserved upon adsorption. These results demonstrate the potential usefulness of isocyanide adsorbates as a means toward selective organic functionalization of semiconductor surfaces.

    View details for DOI 10.1021/acs.jpclett.5b00098

    View details for PubMedID 26262866

  • ALD of Ultrathin Ternary Oxide Electrocatalysts for Water Splitting ACS CATALYSIS Pickrahn, K. L., Garg, A., Bent, S. F. 2015; 5 (3): 1609-1616

    View details for DOI 10.1021/cs501532b

    View details for Web of Science ID 000350843500026

  • Improving Performance in Colloidal Quantum Dot Solar Cells by Tuning Band Alignment through Surface Dipole Moments JOURNAL OF PHYSICAL CHEMISTRY C Santra, P. K., Palmstrom, A. F., Tanskanen, J. T., Yang, N., Bent, S. F. 2015; 119 (6): 2996-3005
  • Applications of ALD MnO to electrochemical water splitting PHYSICAL CHEMISTRY CHEMICAL PHYSICS Pickrahn, K. L., Gorlin, Y., Seitz, L. C., Garg, A., Nordlund, D., Jaramillo, T. F., Bent, S. F. 2015; 17 (21): 14003-14011

    Abstract

    Atomic layer deposition (ALD) is an attractive method to deposit uniform catalytic films onto high surface area electrodes. One interesting material for ALD synthesis is MnOx, a promising earth-abundant catalyst for the oxygen evolution reaction (OER). It has previously been shown that catalysts beginning as MnO synthesized using ALD on smooth glassy carbon (s-GC) electrodes and Mn2O3 obtained upon annealing MnO on s-GC are active OER catalysts. Here, we use ALD to deposit MnO on high surface area GC (HSA-GC) substrates, forming an active catalyst on a geometric surface area basis. We then characterize three types of catalysts, HSA-GC MnO, s-GC MnO, and annealed MnO (Mn2O3), using cyclic voltammetry (CV), scanning electron microscopy (SEM), and ex situ X-ray absorption spectroscopy (XAS). We show that under OER conditions, all three catalysts oxidize to similar surface states with a mixture of Mn(3+)/Mn(4+) and that MnOx surface area effects can account for the observed differences in the catalytic activity. We also demonstrate the need for a high surface area support for high OER activity on a geometric basis.

    View details for DOI 10.1039/c5cp00843c

    View details for Web of Science ID 000354946200025

    View details for PubMedID 25946998

  • Atomic layer deposition in nanostructured photovoltaics: tuning optical, electronic and surface properties NANOSCALE Palmstrom, A. F., Santra, P. K., Bent, S. F. 2015; 7 (29): 12266-12283

    Abstract

    Nanostructured materials offer key advantages for third-generation photovoltaics, such as the ability to achieve high optical absorption together with enhanced charge carrier collection using low cost components. However, the extensive interfacial areas in nanostructured photovoltaic devices can cause high recombination rates and a high density of surface electronic states. In this feature article, we provide a brief review of some nanostructured photovoltaic technologies including dye-sensitized, quantum dot sensitized and colloidal quantum dot solar cells. We then introduce the technique of atomic layer deposition (ALD), which is a vapor phase deposition method using a sequence of self-limiting surface reaction steps to grow thin, uniform and conformal films. We discuss how ALD has established itself as a promising tool for addressing different aspects of nanostructured photovoltaics. Examples include the use of ALD to synthesize absorber materials for both quantum dot and plasmonic solar cells, to grow barrier layers for dye and quantum dot sensitized solar cells, and to infiltrate coatings into colloidal quantum dot solar cell to improve charge carrier mobilities as well as stability. We also provide an example of monolayer surface modification in which adsorbed ligand molecules on quantum dots are used to tune the band structure of colloidal quantum dot solar cells for improved charge collection. Finally, we comment on the present challenges and future outlook of the use of ALD for nanostructured photovoltaics.

    View details for DOI 10.1039/c5nr02080h

    View details for Web of Science ID 000358207700002

  • Highly Textured Tin(II) Sulfide Thin Films Formed from Sheetlike Nanocrystal Inks CHEMISTRY OF MATERIALS Herron, S. M., Tanskanen, J. T., Roelofs, K. E., Bent, S. F. 2014; 26 (24): 7106-7113

    View details for DOI 10.1021/cm503666y

    View details for Web of Science ID 000347139700025

  • Thermally Activated Reactions of Nitrobenzene at the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Bent, S. F. 2014; 118 (50): 29224-29233

    View details for DOI 10.1021/jp505352k

    View details for Web of Science ID 000346759300034

  • Bifacial solar cell with SnS absorber by vapor transport deposition APPLIED PHYSICS LETTERS Wangperawong, A., Hsu, P., Yee, Y., Herron, S. M., Clemens, B. M., Cui, Y., Bent, S. F. 2014; 105 (17)

    View details for DOI 10.1063/1.4898092

    View details for Web of Science ID 000344588600079

  • Improving Area-Selective Molecular Layer Deposition by Selective SAM Removal ACS APPLIED MATERIALS & INTERFACES Prasittichai, C., Pickrahn, K. L., Hashemi, F. S., Bergsman, D. S., Bent, S. F. 2014; 6 (20): 17831-17836

    Abstract

    Area selective molecular layer deposition (MLD) is a promising technique for achieving micro- or nanoscale patterned organic structures. However, this technique still faces challenges in attaining high selectivity, especially at large MLD cycle numbers. Here, we illustrate a new strategy for achieving high quality patterns in selective film deposition on patterned Cu/Si substrates. We employed the intrinsically selective adsorption of an octadecylphosphonic acid self-assembled monolayer (SAM) on Cu over Si surfaces to selectively create a resist layer only on Cu. MLD was then performed on the patterns to deposit organic films predominantly on the Si surface, with only small amounts growing on the Cu regions. A negative potential bias was subsequently applied to the pattern to selectively desorb the layer of SAMs electrochemically from the Cu surface while preserving the MLD films on Si. Selectivity could be enhanced up to 30-fold after this treatment.

    View details for DOI 10.1021/am504441e

    View details for Web of Science ID 000343684200060

  • Improving area-selective molecular layer deposition by selective SAM removal. ACS applied materials & interfaces Prasittichai, C., Pickrahn, K. L., Hashemi, F. S., Bergsman, D. S., Bent, S. F. 2014; 6 (20): 17831-17836

    Abstract

    Area selective molecular layer deposition (MLD) is a promising technique for achieving micro- or nanoscale patterned organic structures. However, this technique still faces challenges in attaining high selectivity, especially at large MLD cycle numbers. Here, we illustrate a new strategy for achieving high quality patterns in selective film deposition on patterned Cu/Si substrates. We employed the intrinsically selective adsorption of an octadecylphosphonic acid self-assembled monolayer (SAM) on Cu over Si surfaces to selectively create a resist layer only on Cu. MLD was then performed on the patterns to deposit organic films predominantly on the Si surface, with only small amounts growing on the Cu regions. A negative potential bias was subsequently applied to the pattern to selectively desorb the layer of SAMs electrochemically from the Cu surface while preserving the MLD films on Si. Selectivity could be enhanced up to 30-fold after this treatment.

    View details for DOI 10.1021/am504441e

    View details for PubMedID 25290370

  • Coverage-Dependent Adsorption of Bifunctional Molecules: Detailed Insights into Interactions between Adsorbates JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Brogaard, R. Y., Sandoval, T. E., Bent, S. F. 2014; 118 (41): 23811-23820

    View details for DOI 10.1021/jp507349k

    View details for Web of Science ID 000343333600043

  • Nanoscale limitations in metal oxide electrocatalysts for oxygen evolution. Nano letters Viswanathan, V., Pickrahn, K. L., Luntz, A. C., Bent, S. F., Nørskov, J. K. 2014; 14 (10): 5853-5857

    Abstract

    Metal oxides are attractive candidates for low cost, earth-abundant electrocatalysts. However, owing to their insulating nature, their widespread application has been limited. Nanostructuring allows the use of insulating materials by enabling tunneling as a possible charge transport mechanism. We demonstrate this using TiO2 as a model system identifying a critical thickness, based on theoretical analysis, of about ∼4 nm for tunneling at a current density of ∼1 mA/cm(2). This is corroborated by electrochemical measurements on conformal thin films synthesized using atomic layer deposition (ALD) identifying a similar critical thickness. We generalize the theoretical analysis deriving a relation between the critical thickness and the location of valence band maximum relative to the limiting potential of the electrochemical surface process. The critical thickness sets the optimum size of the nanoparticle oxide electrocatalyst and this provides an important nanostructuring requirement for metal oxide electrocatalyst design.

    View details for DOI 10.1021/nl502775u

    View details for PubMedID 25216362

  • Nanoscale Limitations in Metal Oxide Electrocatalysts for Oxygen Evolution NANO LETTERS Viswanathan, V., Pickrahn, K. L., Luntz, A. C., Bent, S. F., Norskov, J. K. 2014; 14 (10): 5853-5857

    Abstract

    Metal oxides are attractive candidates for low cost, earth-abundant electrocatalysts. However, owing to their insulating nature, their widespread application has been limited. Nanostructuring allows the use of insulating materials by enabling tunneling as a possible charge transport mechanism. We demonstrate this using TiO2 as a model system identifying a critical thickness, based on theoretical analysis, of about ∼4 nm for tunneling at a current density of ∼1 mA/cm(2). This is corroborated by electrochemical measurements on conformal thin films synthesized using atomic layer deposition (ALD) identifying a similar critical thickness. We generalize the theoretical analysis deriving a relation between the critical thickness and the location of valence band maximum relative to the limiting potential of the electrochemical surface process. The critical thickness sets the optimum size of the nanoparticle oxide electrocatalyst and this provides an important nanostructuring requirement for metal oxide electrocatalyst design.

    View details for DOI 10.1021/nl502775u

    View details for Web of Science ID 000343016400059

  • Nanostructuring Materials for Solar-to-Hydrogen Conversion JOURNAL OF PHYSICAL CHEMISTRY C Guer, T. M., Bent, S. F., Prinz, F. B. 2014; 118 (37): 21301-21315

    View details for DOI 10.1021/jp500966u

    View details for Web of Science ID 000342118500001

  • Structural evolution of platinum thin films grown by atomic layer deposition JOURNAL OF APPLIED PHYSICS Geyer, S. M., Methaapanon, R., Johnson, R., Brennan, S., Toney, M. F., Clemens, B., Bent, S. 2014; 116 (6)

    View details for DOI 10.1063/1.4892104

    View details for Web of Science ID 000341179400073

  • Band engineering of ternary lead chalcogenide quantum dots for colloidal quantum dot solar cells Palmstrom, A. F., Santra, P. K., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Understanding metal oxide effects in syngas conversion catalysts through interface modification by atomic layer deposition Yang, N., Fleischman, S., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Atomic layer deposition of ternary oxide electrocatalysts for water splitting Pickrahn, K. L., Garg, A., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Strong carbon dative bond formed by isocyanides on the Ge(100)-2 x 1 surface Shong, B., Wong, K. T., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Role of sulfur-based nanocrystal ligands in metal chalcogenide nanocrystal inks Herron, S. M., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Role of molecular structure in surface chemical reactivity Shong, B., Sandoval, T. E., Wong, K. T., Kachian, J. S., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Effect of O-3 on Growth of Pt by Atomic Layer Deposition JOURNAL OF PHYSICAL CHEMISTRY C Lee, H., Pickrahn, K. L., Bent, S. F. 2014; 118 (23): 12325-12332

    View details for DOI 10.1021/jp502596n

    View details for Web of Science ID 000337497400027

  • A brief review of atomic layer deposition: from fundamentals to applications MATERIALS TODAY Johnson, R. W., Hultqvist, A., Bent, S. F. 2014; 17 (5): 236-246
  • A New Resist for Area Selective Atomic and Molecular Layer Deposition on Metal-Dielectric Patterns JOURNAL OF PHYSICAL CHEMISTRY C Hashemi, F. S., Prasittichai, C., Bent, S. F. 2014; 118 (20): 10957-10962

    View details for DOI 10.1021/jp502669f

    View details for Web of Science ID 000336509400049

  • Correlating Growth Characteristics in Atomic Layer Deposition with Precursor Molecular Structure: The Case of Zinc Tin Oxide CHEMISTRY OF MATERIALS Tanskanen, J. T., Hagglund, C., Bent, S. F. 2014; 26 (9): 2795-2802

    View details for DOI 10.1021/cm403913r

    View details for Web of Science ID 000336020700007

  • An atomic layer deposition chamber for in situ x-ray diffraction and scattering analysis REVIEW OF SCIENTIFIC INSTRUMENTS Geyer, S. M., Methaapanon, R., Johnson, R. W., Kim, W., Van Campen, D. G., Metha, A., Bent, S. F. 2014; 85 (5)

    Abstract

    The crystal structure of thin films grown by atomic layer deposition (ALD) will determine important performance properties such as conductivity, breakdown voltage, and catalytic activity. We report the design of an atomic layer deposition chamber for in situ x-ray analysis that can be used to monitor changes to the crystal structural during ALD. The application of the chamber is demonstrated for Pt ALD on amorphous SiO2 and SrTiO3 (001) using synchrotron-based high resolution x-ray diffraction, grazing incidence x-ray diffraction, and grazing incidence small angle scattering.

    View details for DOI 10.1063/1.4876484

    View details for Web of Science ID 000337104600078

    View details for PubMedID 24880424

  • Strong Carbon-Surface Dative Bond Formation by tert-Butyl Isocyanide on the Ge(100)-2 x 1 Surface JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Shong, B., Wong, K. T., Bent, S. F. 2014; 136 (16): 5848-5851

    Abstract

    Carbon dative bond formation between an organic molecule and a semiconductor surface is reported here for the first time. Our studies show that the adsorption of tert-butyl isocyanide on the (100) surface of germanium, measured using Fourier transform infrared spectroscopy, temperature-programmed desorption, and density functional theory calculations, occurs via formation of a dative bond to the surface through the isocyanide carbon. The experimentally observed adsorption energy of 26.8 kcal/mol is the largest among any organic molecule dative bonded on the Ge(100)-2 × 1 surface studied to date. The dative-bonded adsorbate is characterized by a N≡C stretching frequency significantly blue-shifted from that of the free molecule. Moreover, the adsorbate N≡C vibrational frequency red-shifts back toward that of the free molecule upon increasing coverage. These spectroscopic effects are attributed to σ-donation of the isocyanide lone pair electrons to the surface.

    View details for DOI 10.1021/ja500742a

    View details for Web of Science ID 000335086100008

    View details for PubMedID 24725248

  • Thin film characterization of zinc tin oxide deposited by thermal atomic layer deposition THIN SOLID FILMS Mullings, M. N., Haegglund, C., Tanskanen, J. T., Yee, Y., Geyer, S., Bent, S. F. 2014; 556: 186-194
  • Manganese oxide oxygen evolution catalysts deposited by ALD Pickrahn, K. L., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Strategies for selective deposition of organic and inorganic materials on patterned substrates Hashemi, F. M., Prasittichai, C., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Tuning band alignment by surface dipole moments to improve performance of colloidal quantum dot solar cells Santra, P. K., Palmstrom, A. F., Bent, S. F. AMER CHEMICAL SOC. 2014
  • Interface Engineering in Inorganic-Absorber Nanostructured Solar Cells JOURNAL OF PHYSICAL CHEMISTRY LETTERS Roelofs, K. E., Brennan, T. P., Bent, S. F. 2014; 5 (2): 348-360

    Abstract

    Nanostructured solar cells have the potential to provide a low-cost alternative to more traditional thin film solar cell technologies. Of particular interest are nanostructured solar cells with inorganic semiconductor absorbers, due to their favorable absorption properties. Such devices include quantum-dot-sensitized solar cells (QDSSCs), extremely thin absorber solar cells (ETASCs), and colloidal quantum dot solar cells (CQDSCs). However, these device architectures suffer from high rates of internal recombination and other problems associated with their extensive internal surface areas. Interfacial surface treatments have proven to be a highly effective means to improve the electronic properties of these devices, leading to overall gains in efficiencies. In this Perspective, we focus on three types of interfacial modification: band alignment by molecular dipole layers, improved CQD film mobilities by ligand exchange, and reduced recombination by interfacial inorganic layers. Select examples in each of these categories are highlighted to provide a detailed look at the underlying mechanisms. We believe that surface modification studies in these devices-QDSSCs, ETASCs, and CQDSCs-are of interest not only to these fields, but also to the broader photovoltaics community.

    View details for DOI 10.1021/jz4023656

    View details for Web of Science ID 000330017800014

  • Interface Engineering in Inorganic-Absorber Nanostructured Solar Cells. journal of physical chemistry letters Roelofs, K. E., Brennan, T. P., Bent, S. F. 2014; 5 (2): 348-360

    Abstract

    Nanostructured solar cells have the potential to provide a low-cost alternative to more traditional thin film solar cell technologies. Of particular interest are nanostructured solar cells with inorganic semiconductor absorbers, due to their favorable absorption properties. Such devices include quantum-dot-sensitized solar cells (QDSSCs), extremely thin absorber solar cells (ETASCs), and colloidal quantum dot solar cells (CQDSCs). However, these device architectures suffer from high rates of internal recombination and other problems associated with their extensive internal surface areas. Interfacial surface treatments have proven to be a highly effective means to improve the electronic properties of these devices, leading to overall gains in efficiencies. In this Perspective, we focus on three types of interfacial modification: band alignment by molecular dipole layers, improved CQD film mobilities by ligand exchange, and reduced recombination by interfacial inorganic layers. Select examples in each of these categories are highlighted to provide a detailed look at the underlying mechanisms. We believe that surface modification studies in these devices-QDSSCs, ETASCs, and CQDSCs-are of interest not only to these fields, but also to the broader photovoltaics community.

    View details for DOI 10.1021/jz4023656

    View details for PubMedID 26270710

  • Interface engineering in inorganic-absorber nanostructured solar cells J. Phys. Chem. Lett., Invited Perspective article Roelofs, K., E., Brennan, T., P., Bent, S., F. 2014; 5: 348−360
  • Molecular Layer Deposition of Nanoscale Organic Films for Nanoelectronics Applications ATOMIC LAYER DEPOSITION APPLICATIONS 10 Bergsman, D. S., Zhou, H., Bent, S. F. 2014; 64 (9): 87-96
  • Selective metal deposition at graphene line defects by atomic layer deposition. Nature communications Kim, K., Lee, H., Johnson, R. W., Tanskanen, J. T., Liu, N., Kim, M., Pang, C., Ahn, C., Bent, S. F., Bao, Z. 2014; 5: 4781-?

    Abstract

    One-dimensional defects in graphene have a strong influence on its physical properties, such as electrical charge transport and mechanical strength. With enhanced chemical reactivity, such defects may also allow us to selectively functionalize the material and systematically tune the properties of graphene. Here we demonstrate the selective deposition of metal at chemical vapour deposited graphene's line defects, notably grain boundaries, by atomic layer deposition. Atomic layer deposition allows us to deposit Pt predominantly on graphene's grain boundaries, folds and cracks due to the enhanced chemical reactivity of these line defects, which is directly confirmed by transmission electron microscopy imaging. The selective functionalization of graphene defect sites, together with the nanowire morphology of deposited Pt, yields a superior platform for sensing applications. Using Pt-graphene hybrid structures, we demonstrate high-performance hydrogen gas sensors at room temperature and show its advantages over other evaporative Pt deposition methods, in which Pt decorates the graphene surface non-selectively.

    View details for DOI 10.1038/ncomms5781

    View details for PubMedID 25179368

  • Area Selective Molecular Layer Deposition of Polyurea Films ACS APPLIED MATERIALS & INTERFACES Prasittichai, C., Zhou, H., Bent, S. F. 2013; 5 (24): 13391-13396

    Abstract

    Patterned organic thin films with submicrometer features are of great importance in applications such as nanoelectronics and optoelectronics. We present here a new approach for creating patterned organic films using area selective molecular layer deposition (MLD). MLD is a technique that allows for conformal deposition of nanoscale organic thin films with exceptional control over vertical thickness and composition. By expanding the technique to allow for area selective MLD, lateral patterning of the film can be achieved. In this work, polyurea thin films were deposited by alternating pulses of 1,4-phenylenediisocyanate (PDIC) and ethylenediamine (ED) in a layer-by-layer fashion with a linear growth rate of 5.3 Å/cycle. Studies were carried out to determine whether self-assembled monolayer (SAM) formed from octadecyltrichlorosilane (ODTS) could block MLD on silicon substrates. Results show that the MLD process is impeded by the SAM. To test lateral patterning in MLD, SAMs were patterned onto silicon substrates using two different approaches. In one approach, SiO2-coated Si(100) substrates were patterned with an ODTS SAM by soft lithography in a well-controlled environment. In the second approach, patterned ODTS SAM was formed on H-Si/SiO2 patterned wafers by employing the chemically selective adsorption of ODTS on SiO2 over H-Si. Auger electron spectroscopy results revealed that the polyurea film is deposited predominantly on the ODTS-free regions of both patterned substrates, indicating sufficient blocking of MLD by the ODTS SAM layer to replicate the pattern. The method we describe here offers a novel approach for fabricating high quality, three-dimensional organic structures.

    View details for DOI 10.1021/am4043195

    View details for Web of Science ID 000329137400080

    View details for PubMedID 24229350

  • Formation of Stable Nitrene Surface Species by the Reaction of Adsorbed Phenyl Isocyanate at the Ge(100)-2 x 1 Surface LANGMUIR Wong, K. T., Tanskanen, J. T., Bent, S. F. 2013; 29 (51): 15842-15850

    Abstract

    The reaction of phenyl isocyanate (PIC) following adsorption at the Ge(100)-2 × 1 surface has been investigated both experimentally and theoretically by Fourier transform infrared (FTIR) spectroscopy, X-ray photoelectron spectroscopy, temperature-programmed desorption, quantum chemical calculations, and molecular dynamics simulations. PIC initially adsorbs by [2 + 2] cycloaddition across the C═N bond of the isocyanate, as previously reported, but this initial product converts to a second product on the time scale of minutes at room temperature. The experimental and theoretical results show that the second product formed is phenylnitrene (C6H5N) covalently bonded to the germanium surface via a single Ge-N bond. This conclusion is further supported by FTIR spectroscopy experiments and density functional theory calculations using phenyl isocyanate-(15)N and phenyl-d5 isocyanate.

    View details for DOI 10.1021/la4036216

    View details for Web of Science ID 000329137000015

    View details for PubMedID 24359033

  • Adsorption of Trimethyl Phosphite at the Ge(100)-2 x 1 Surface by Nucleophilic Reaction JOURNAL OF PHYSICAL CHEMISTRY C Wong, K. T., Shong, B., Sun, W., Bent, S. F. 2013; 117 (50): 26628-26635

    View details for DOI 10.1021/jp408538e

    View details for Web of Science ID 000328920500025

  • TiO2 Conduction Band Modulation with In2O3 Recombination Barrier Layers in Solid-State Dye-Sensitized Solar Cells JOURNAL OF PHYSICAL CHEMISTRY C Brennan, T. P., Tanskanen, J. T., Roelofs, K. E., To, J. W., Nguyen, W. H., Bakke, J. R., Ding, I., Hardin, B. E., Sellinger, A., McGehee, M. D., Bent, S. F. 2013; 117 (46): 24138-24149

    View details for DOI 10.1021/jp406789k

    View details for Web of Science ID 000327557300006

  • Dynamical Orientation of Large Molecules on Oxide Surfaces and its Implications for Dye-Sensitized Solar Cells CHEMISTRY OF MATERIALS Brennan, T. P., Tanskanen, J. T., Bakke, J. R., Nguyen, W. H., Nordlund, D., Toney, M. F., McGehee, M. D., Sellinger, A., Bent, S. F. 2013; 25 (21): 4354-4363

    View details for DOI 10.1021/cm402609k

    View details for Web of Science ID 000327045000026

  • Tin oxide atomic layer deposition from tetrakis(dimethylamino)tin and water JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Mullings, M. N., Haegglund, C., Bent, S. F. 2013; 31 (6)

    View details for DOI 10.1116/1.4812717

    View details for Web of Science ID 000327253900028

  • Highly Stable Ultrathin Carbosiloxane Films by Molecular Layer Deposition JOURNAL OF PHYSICAL CHEMISTRY C Zhou, H., Bent, S. F. 2013; 117 (39): 19967-19973

    View details for DOI 10.1021/jp4058725

    View details for Web of Science ID 000326300700020

  • Insights into the Surface Chemistry of Tin Oxide Atomic Layer Deposition from Quantum Chemical Calculations JOURNAL OF PHYSICAL CHEMISTRY C Tanskanen, J. T., Bent, S. F. 2013; 117 (37): 19056-19062

    View details for DOI 10.1021/jp4063324

    View details for Web of Science ID 000330162600031

  • Adsorption of Structural and Stereoisomers of Cyclohexanediamine at the Ge(100)-2 x 1 Surface: Geometric Effects in Adsorption on a Semiconductor Surface JOURNAL OF PHYSICAL CHEMISTRY C Wong, K. T., Bent, S. F. 2013; 117 (37): 19063-19073

    View details for DOI 10.1021/jp406423n

    View details for Web of Science ID 000330162600032

  • Size Dependent Effects in Nucleation of Ru and Ru Oxide Thin Films by Atomic Layer Deposition Measured by Synchrotron Radiation X-ray Diffraction CHEMISTRY OF MATERIALS Methaapanon, R., Geyer, S. M., Brennan, S., Bent, S. F. 2013; 25 (17): 3458-3463

    View details for DOI 10.1021/cm401585k

    View details for Web of Science ID 000330097900007

  • Competing geometric and electronic effects in adsorption of phenylenediamine structural isomers on the Ge(100)-2 x 1 surface SURFACE SCIENCE Kachian, J. S., Squires, K. H., Bent, S. F. 2013; 615: 72-79
  • Semiconductor surface functionalization for advances in electronics, energy conversion, and dynamic systems JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Teplyakov, A. V., Bent, S. F. 2013; 31 (5)

    View details for DOI 10.1116/1.4810784

    View details for Web of Science ID 000324388800011

  • Vapor transport deposition and epitaxy of orthorhombic SnS on glass and NaCl substrates APPLIED PHYSICS LETTERS Wangperawong, A., Herron, S. M., Runser, R. R., Haegglund, C., Tanskanen, J. T., Lee, H., Clemens, B. M., Bent, S. F. 2013; 103 (5)

    View details for DOI 10.1063/1.4816746

    View details for Web of Science ID 000322723000041

  • Cross-Linked Ultrathin Polyurea Films via Molecular Layer Deposition MACROMOLECULES Zhou, H., Toney, M. F., Bent, S. F. 2013; 46 (14): 5638-5643

    View details for DOI 10.1021/ma400998m

    View details for Web of Science ID 000322417100026

  • Atomic layer deposition of CdO and CdxZn1-xO films MATERIALS CHEMISTRY AND PHYSICS Bakke, J. R., Haegglund, C., Jung, H. J., Sinclair, R., Bent, S. F. 2013; 140 (2-3): 465-471
  • Self-assembly based plasmonic arrays tuned by atomic layer deposition for extreme visible light absorption. Nano letters Hägglund, C., Zeltzer, G., Ruiz, R., Thomann, I., Lee, H., Brongersma, M. L., Bent, S. F. 2013; 13 (7): 3352-3357

    Abstract

    Achieving complete absorption of visible light with a minimal amount of material is highly desirable for many applications, including solar energy conversion to fuel and electricity, where benefits in conversion efficiency and economy can be obtained. On a fundamental level, it is of great interest to explore whether the ultimate limits in light absorption per unit volume can be achieved by capitalizing on the advances in metamaterial science and nanosynthesis. Here, we combine block copolymer lithography and atomic layer deposition to tune the effective optical properties of a plasmonic array at the atomic scale. Critical coupling to the resulting nanocomposite layer is accomplished through guidance by a simple analytical model and measurements by spectroscopic ellipsometry. Thereby, a maximized absorption of light exceeding 99% is accomplished, of which up to about 93% occurs in a volume-equivalent thickness of gold of only 1.6 nm. This corresponds to a record effective absorption coefficient of 1.7 × 10(7) cm(-1) in the visible region, far exceeding those of solid metals, graphene, dye monolayers, and thin film solar cell materials. It is more than a factor of 2 higher than that previously obtained using a critically coupled dye J-aggregate, with a peak width exceeding the latter by 1 order of magnitude. These results thereby substantially push the limits for light harvesting in ultrathin, nanoengineered systems.

    View details for DOI 10.1021/nl401641v

    View details for PubMedID 23805835

  • Self-Assembly Based Plasmonic Arrays Tuned by Atomic Layer Deposition for Extreme Visible Light Absorption NANO LETTERS Haegglund, C., Zeltzer, G., Ruiz, R., Thomann, I., Lee, H., Brongersma, M. L., Bent, S. F. 2013; 13 (7): 3352-3357

    Abstract

    Achieving complete absorption of visible light with a minimal amount of material is highly desirable for many applications, including solar energy conversion to fuel and electricity, where benefits in conversion efficiency and economy can be obtained. On a fundamental level, it is of great interest to explore whether the ultimate limits in light absorption per unit volume can be achieved by capitalizing on the advances in metamaterial science and nanosynthesis. Here, we combine block copolymer lithography and atomic layer deposition to tune the effective optical properties of a plasmonic array at the atomic scale. Critical coupling to the resulting nanocomposite layer is accomplished through guidance by a simple analytical model and measurements by spectroscopic ellipsometry. Thereby, a maximized absorption of light exceeding 99% is accomplished, of which up to about 93% occurs in a volume-equivalent thickness of gold of only 1.6 nm. This corresponds to a record effective absorption coefficient of 1.7 × 10(7) cm(-1) in the visible region, far exceeding those of solid metals, graphene, dye monolayers, and thin film solar cell materials. It is more than a factor of 2 higher than that previously obtained using a critically coupled dye J-aggregate, with a peak width exceeding the latter by 1 order of magnitude. These results thereby substantially push the limits for light harvesting in ultrathin, nanoengineered systems.

    View details for DOI 10.1021/nl401641v

    View details for Web of Science ID 000321884300057

  • Fabrication of organic interfacial layers by molecular layer deposition: Present status and future opportunities JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Zhou, H., Bent, S. F. 2013; 31 (4)

    View details for DOI 10.1116/1.4804609

    View details for Web of Science ID 000321516400003

  • Highly sensitive, patternable organic films at the nanoscale made by bottom-up assembly. ACS applied materials & interfaces Zhou, H., Blackwell, J. M., Lee, H., Bent, S. F. 2013; 5 (9): 3691-3696

    Abstract

    Nanoscale patterning of organic thin films is of great interest for next-generation technologies. To keep pace with the demands of state-of-the-art lithography, both the sensitivity and resolution of the patternable thin films need to be improved. Here we report a highly sensitive polyurea film grown by bottom-up assembly via the molecular layer deposition (MLD) technique, which allows for high-resolution patterning at the nanoscale. The MLD process used in this work provides an exceptionally high degree of control over the film thickness and composition and also offers high coating conformality. The polyurea film was formed by urea coupling reactions between 1,4-diisocyanatobutane and 2,2'-(propane-2,2-diyldioxy)diethanamine precursors and deposited in a layer-by-layer fashion. Acid-labile ketal groups were incorporated into the backbone of the polymer chains to ensure chemically amplified cleaving reactions when combined with photoacid, which was generated by electron-beam activation of triphenylsulfonium triflate soaked into the polyurea film. With electron-beam lithography, sub-100 μC/cm(2) sensitivity and sub-100 nm resolution were demonstrated using this new bottom-up assembly approach to resist fabrication.

    View details for DOI 10.1021/am4002887

    View details for PubMedID 23594160

  • Effect of Al2O3 Recombination Barrier Layers Deposited by Atomic Layer Deposition in Solid-State CdS Quantum Dot-Sensitized Solar Cells JOURNAL OF PHYSICAL CHEMISTRY C Roelofs, K. E., Brennan, T. P., Dominguez, J. C., Bailie, C. D., Margulis, G. Y., Hoke, E. T., McGehee, M. D., Bent, S. F. 2013; 117 (11): 5584-5592

    View details for DOI 10.1021/jp311846r

    View details for Web of Science ID 000316773000009

  • Growth of Pt Nanowires by Atomic Layer Deposition on Highly Ordered Pyrolytic Graphite NANO LETTERS Lee, H., Baeck, S. H., Jaramillo, T. F., Bent, S. F. 2013; 13 (2): 457-463

    Abstract

    The formation of Pt nanowires (NWs) by atomic layer deposition on highly ordered pyrolytic graphite (HOPG) is investigated. Pt is deposited only at the step edges of HOPG and not on the basal planes, leading to the formation of laterally aligned Pt NWs. A growth model involving a morphological transition from 0-D to 1-D structures via coalescence is presented. The width of the NWs grows at a rate greater than twice the vertical growth rate. This asymmetry is ascribed to the wetting properties of Pt on HOPG as influenced by the formation of graphene oxide. A difference in Pt growth kinetics based on crystallographic orientation may also contribute.

    View details for DOI 10.1021/nl303803p

    View details for Web of Science ID 000315079500021

    View details for PubMedID 23317031

  • One-Dimensional Pattern Formation of Adsorbed Molecules on the Ge(100)-2 X 1 Surface Driven by Nearest-Neighbor Effects JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Bent, S. F. 2013; 117 (2): 949-955

    View details for DOI 10.1021/jp3078503

    View details for Web of Science ID 000313932800024

  • In Vacuo Photoemission Studies of Platinum Atomic Layer Deposition Using Synchrotron Radiation JOURNAL OF PHYSICAL CHEMISTRY LETTERS Geyer, S. M., Methaapanon, R., Shong, B., Pianetta, P. A., Bent, S. F. 2013; 4 (1): 176-179

    Abstract

    The mechanism of platinum atomic layer deposition using (methylcyclopentadienyl)trimethylplatinum and oxygen is investigated with in vacuo photoemission spectroscopy at the Stanford Synchrotron Radiation Lightsource. With this surface-sensitive technique, the surface species following the Pt precursor half cycle and the oxygen counter-reactant half cycle can be directly measured. We observed significant amounts of carbonaceous species following the Pt precursor pulse, consistent with dehydrogenation of the precursor ligands. Significantly more carbon is observed when deposition is carried out in the thermal decomposition temperature region. The carbonaceous layer is removed during the oxygen counter reactant pulse, and the photoemission spectrum shows that a layer of adsorbed oxygen remains on the surface as previously predicted.

    View details for DOI 10.1021/jz301475z

    View details for Web of Science ID 000313142000029

  • In Vacuo Photoemission Studies of Platinum Atomic Layer Deposition Using Synchrotron Radiation. The journal of physical chemistry letters Geyer, S. M., Methaapanon, R., Shong, B., Pianetta, P. A., Bent, S. F. 2013; 4 (1): 176-9

    Abstract

    The mechanism of platinum atomic layer deposition using (methylcyclopentadienyl)trimethylplatinum and oxygen is investigated with in vacuo photoemission spectroscopy at the Stanford Synchrotron Radiation Lightsource. With this surface-sensitive technique, the surface species following the Pt precursor half cycle and the oxygen counter-reactant half cycle can be directly measured. We observed significant amounts of carbonaceous species following the Pt precursor pulse, consistent with dehydrogenation of the precursor ligands. Significantly more carbon is observed when deposition is carried out in the thermal decomposition temperature region. The carbonaceous layer is removed during the oxygen counter reactant pulse, and the photoemission spectrum shows that a layer of adsorbed oxygen remains on the surface as previously predicted.

    View details for DOI 10.1021/jz301475z

    View details for PubMedID 26291229

  • Portable atomic layer deposition reactor for in situ synchrotron photoemission studies. Review of scientific instruments Methaapanon, R., Geyer, S. M., Hagglund, C., Pianetta, P. A., Bent, S. F. 2013; 84 (1): 015104-?

    Abstract

    We report the design of a portable atomic layer deposition (ALD) reactor that can be integrated into synchrotron facilities for in situ synchrotron photoemission studies. The design allows for universal installation of the system onto different beam line end stations. The ALD reactor operates as a fully functional, low vacuum deposition system under the conditions of a typical ALD reactor while allowing the samples to be analyzed in an ultrahigh vacuum (UHV) chamber through a quick transfer without vacuum break. This system not only minimizes the exposure of the UHV chamber to the ALD reactants, but it also eliminates the necessity of a beam alignment step after installation. The system has been successfully installed at the synchrotron and tested in the mechanistic studies of platinum ALD following individual half reaction cycles.

    View details for DOI 10.1063/1.4773230

    View details for PubMedID 23387692

  • Effects of QD Surface Coverage in Solid-State PbS Quantum Dot-Sensitized Solar Cells 39th IEEE Photovoltaic Specialists Conference (PVSC) Roelofs, K. E., Brennan, T. P., Trejo, O., Xu, J., Prinz, F. B., Bent, S. F. IEEE. 2013: 1080–1083
  • Novel photoresist thin films with in-situ photoacid generator by molecular layer deposition Conference on Advances in Resist Materials and Processing Technology XXX Zhou, H., Bent, S. F. SPIE-INT SOC OPTICAL ENGINEERING. 2013

    View details for DOI 10.1117/12.2011572

    View details for Web of Science ID 000323247200027

  • Portable atomic layer deposition reactor for in situ synchrotron photoemission studies REVIEW OF SCIENTIFIC INSTRUMENTS Methaapanon, R., Geyer, S. M., Hagglund, C., Pianetta, P. A., Bent, S. F. 2013; 84 (1)

    View details for DOI 10.1063/1.4773230

    View details for Web of Science ID 000314729100063

    View details for PubMedID 23387692

  • Formation of stable nitrene surface species by reaction of adsorbed phenyl isocyanate at the Ge(100)-2×1 surface Langmuir Wong, K., T., Tanskanen, J., T., Bent, S., F. 2013; 29: 15842−15850
  • Cross-linked ultrathin polyurea films via molecular layer deposition Macromolecules Zhou, H., Toney, M., F., Bent, S., F. 2013; 46: 5638−5643
  • Fabrication of organic interfacial layers by molecular layer deposition: present status and future opportunities J. Vac. Sci. Technol., invited review Zhou, H., Bent, S., F. 2013; 31: 040801

    View details for DOI 10.1116/1.4804609

  • Insights into the surface chemistry of tin oxide atomic layer deposition from quantum chemical calculations J. Phys. Chem. C Tanskanen, J., T., Bent, S., F. 2013; 117: 19056−19062
  • Highly stable ultrathin carbosiloxane films by molecular layer deposition J. Phys. Chem.C Zhou, H., Bent, S., F. 2013; 117: 19967−19973
  • Efficiency enhancement of solid-state PbS quantum dot-sensitized solar cells with Al2O3 barrier layer JOURNAL OF MATERIALS CHEMISTRY A Brennan, T. P., Trejo, O., Roelofs, K. E., Xu, J., Prinz, F. B., Bent, S. F. 2013; 1 (26): 7566-7571

    View details for DOI 10.1039/c3ta10903h

    View details for Web of Science ID 000320245400004

  • Size dependent effects in nucleation of Ru and Ru oxide thin films by atomic layer deposition measured by synchrotron radiation x-ray diffraction Chem. Mat Methaapanon, R., Geyer, S., M., Brennan, S., Bent, S., F. 2013; 25: 58−3463
  • The dynamical orientation of large molecules on oxide surfaces and its implications for dye-sensitized solar cells Chem. Mat. Brennan, T., P., Tanskanen, J., T., Bakke, J., R., Nguyen, W., H., Nordlund, D., Toney, M., F., Bent, S. F. 2013; 25: 4354−4363
  • Tin oxide atomic layer deposition from tetrakis(dimethylamino)tin and water J. Vac. Sci. Technol. A Mullings, M., N., Hagglund, C., Bent, S., F. 2013; 31: 061503
  • 1D pattern formation of adsorbed molecules on the Ge(100)-2 × 1 surface driven by nearest neighbor effects J. Phys. Chem. C Shong, B., Bent, S., F. 2013; 2 (117): 949-955
  • Vapor transport deposition and epitaxy of orthorhombic SnS on glass and NaCl substrates Appl. Phys. Lett Wangperawong, A., Herron, S., M., Runser, R., R., Hägglund, C., Tanskanen, J., Lee, H., B. R., Bent, S. F. 2013; 103: 052105
  • Adsorption of trimethyl phosphite at the Ge(100)-2×1 surface by nucleophilic reaction J. Phys. Chem. C Wong, K., T., Shong, B., S., Sun, W., Bent, S., F. 2013; 117: 26628−26635
  • Adsorption of structural and stereoisomers of cyclohexanediamineat the Ge(100)-2 × 1 surface: geometric effects in adsorption on a semiconductor surface J. Phys. Chem. C Wong, K., T., Bent, S., F. 2013; 117: 19063−19073
  • Dissociative Adsorption of Dimethyl Sulfoxide at the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Wong, K. T., Chopra, S. N., Bent, S. F. 2012; 116 (50): 26422-26430

    View details for DOI 10.1021/jp309418e

    View details for Web of Science ID 000312519600035

  • Power losses in bilayer inverted small molecule organic solar cells APPLIED PHYSICS LETTERS Trinh, C., Bakke, J. R., Brennan, T. P., Bent, S. F., Navarro, F., Bartynski, A., Thompson, M. E. 2012; 101 (23)

    View details for DOI 10.1063/1.4769440

    View details for Web of Science ID 000312243900099

  • Nucleation-Controlled Growth of Nanoparticles by Atomic Layer Deposition CHEMISTRY OF MATERIALS Lee, H., Mullings, M. N., Jiang, X., Clemens, B. M., Bent, S. F. 2012; 24 (21): 4051-4059

    View details for DOI 10.1021/cm3014978

    View details for Web of Science ID 000311239300008

  • Active MnOx Electrocatalysts Prepared by Atomic Layer Deposition for Oxygen Evolution and Oxygen Reduction Reactions ADVANCED ENERGY MATERIALS Pickrahn, K. L., Park, S. W., Gorlin, Y., Lee, H., Jaramillo, T. F., Bent, S. F. 2012; 2 (10): 1269-1277
  • Functionalizing solid surfaces by monolayer and multilayer chemistry: From fundamentals to applications Shong, B., Wong, K., Zhou, H., Bent, S. AMER CHEMICAL SOC. 2012
  • Single versus Dual Attachment in the Adsorption of Diisocyanates at the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Wong, K. T., Chopra, S. N., Bent, S. F. 2012; 116 (23): 12670-12679

    View details for DOI 10.1021/jp302930g

    View details for Web of Science ID 000305356200038

  • Transition in the Molecular Orientation of Phenol Adsorbates on the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Bent, S. F. 2012; 116 (14): 7925-7930

    View details for DOI 10.1021/jp3009767

    View details for Web of Science ID 000302591300039

  • Coverage dependent configurational transformation of phenol on the Ge(100)-2x1 surface Shong, B., Bent, S. F. AMER CHEMICAL SOC. 2012
  • TiO2-SnO2:F interfacial electronic structure investigated by soft x-ray absorption spectroscopy PHYSICAL REVIEW B Kronawitter, C. X., Kapilashrami, M., Bakke, J. R., Bent, S. F., Chuang, C., Pong, W., Guo, J., Vayssieres, L., Mao, S. S. 2012; 85 (12)
  • Reaction of Hydroquinone and p-Benzoquinone with the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Shong, B., Wong, K. T., Bent, S. F. 2012; 116 (7): 4705-4713

    View details for DOI 10.1021/jp210804v

    View details for Web of Science ID 000301156500044

  • Microstructure-Dependent Nucleation in Atomic Layer Deposition of Pt on TiO2 CHEMISTRY OF MATERIALS Lee, H., Bent, S. F. 2012; 24 (2): 279-286

    View details for DOI 10.1021/cm202764b

    View details for Web of Science ID 000299367500006

  • The importance of dye chemistry and TiCl4 surface treatment in the behavior of Al2O3 recombination barrier layers deposited by atomic layer deposition in solid-state dye-sensitized solar cells PHYSICAL CHEMISTRY CHEMICAL PHYSICS Brennan, T. P., Bakke, J. R., Ding, I., Hardin, B. E., Nguyen, W. H., Mondal, R., Bailie, C. D., Margulis, G. Y., Hoke, E. T., Sellinger, A., McGehee, M. D., Bent, S. F. 2012; 14 (35): 12130-12140

    Abstract

    Atomic layer deposition (ALD) was used to fabricate Al(2)O(3) recombination barriers in solid-state dye-sensitized solar cells (ss-DSSCs) employing an organic hole transport material (HTM) for the first time. Al(2)O(3) recombination barriers of varying thickness were incorporated into efficient ss-DSSCs utilizing the Z907 dye adsorbed onto a 2 μm-thick nanoporous TiO(2) active layer and the HTM spiro-OMeTAD. The impact of Al(2)O(3) barriers was also studied in devices employing different dyes, with increased active layer thicknesses, and with substrates that did not undergo the TiCl(4) surface treatment. In all instances, electron lifetimes (as determined by transient photovoltage measurements) increased and dark current was suppressed after Al(2)O(3) deposition. However, only when the TiCl(4) treatment was eliminated did device efficiency increase; in all other instances efficiency decreased due to a drop in short-circuit current. These results are attributed in the former case to the similar effects of Al(2)O(3) ALD and the TiCl(4) surface treatment whereas the insulating properties of Al(2)O(3) hinder charge injection and lead to current loss in TiCl(4)-treated devices. The impact of Al(2)O(3) barrier layers was unaffected by doubling the active layer thickness or using an alternative ruthenium dye, but a metal-free donor-π-acceptor dye exhibited a much smaller decrease in current due to its higher excited state energy. We develop a model employing prior research on Al(2)O(3) growth and dye kinetics that successfully predicts the reduction in device current as a function of ALD cycles and is extendable to different dye-barrier systems.

    View details for DOI 10.1039/c2cp42388j

    View details for Web of Science ID 000307648700014

    View details for PubMedID 22850593

  • Recombination Barrier Layers in Solid-State Quantum Dot-Sensitized Solar Cells 38th IEEE Photovoltaic Specialists Conference (PVSC) Roelofs, K. E., Brennan, T. P., Dominguez, J. C., Bent, S. F. IEEE. 2012: 3040–3043
  • Nanopatterning by Area-Selective Atomic Layer Deposition ATOMIC LAYER DEPOSITION OF NANOSTRUCTURED MATERIALS Lee, H., Bent, S. F., Pinna, N., Knez, M. 2012: 193–225
  • The low temperature atomic layer deposition of ruthenium and the effect of oxygen exposure JOURNAL OF MATERIALS CHEMISTRY Methaapanon, R., Geyer, S. M., Lee, H., Bent, S. F. 2012; 22 (48): 25154-25160

    View details for DOI 10.1039/c2jm35332f

    View details for Web of Science ID 000311970800023

  • Growth characteristics, material properties, and optical properties of zinc oxysulfide films deposited by atomic layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Bakke, J. R., Tanskanen, J. T., Haegglund, C., Pakkanen, T. A., Bent, S. F. 2012; 30 (1)

    View details for DOI 10.1116/1.3664758

    View details for Web of Science ID 000298992800035

  • Atomic Layer Deposition of CdS Quantum Dots for Solid-State Quantum Dot Sensitized Solar Cells ADVANCED ENERGY MATERIALS Brennan, T. P., Ardalan, P., Lee, H., Bakke, J. R., Ding, I., McGehee, M. D., Bent, S. F. 2011; 1 (6): 1169-1175
  • Electron Enrichment in 3d Transition Metal Oxide Hetero-Nanostructures NANO LETTERS Kronawitter, C. X., Bakke, J. R., Wheeler, D. A., Wang, W., Chang, C., Antoun, B. R., Zhang, J. Z., Guo, J., Bent, S. F., Mao, S. S., Vayssieres, L. 2011; 11 (9): 3855-3861

    Abstract

    Direct experimental observation of spontaneous electron enrichment of metal d orbitals in a new transition metal oxide heterostructure with nanoscale dimensionality is reported. Aqueous chemical synthesis and vapor phase deposition are combined to fabricate oriented arrays of high-interfacial-area hetero-nanostructures comprised of titanium oxide and iron oxide nanomaterials. Synchrotron-based soft X-ray spectroscopy techniques with high spectral resolution are utilized to directly probe the titanium and oxygen orbital character of the interfacial region's occupied and unoccupied densities of states. These data demonstrate the interface to possess electrons in Ti 3d bands and an emergent degree of orbital hybridization that is absent in parent oxide reference crystals. The carrier dynamics of the hetero-nanostructures are studied by ultrafast transient absorption spectroscopy, which reveals the presence of a dense manifold of states, the relaxations from which exhibit multiple exponential decays whose magnitudes depend on their energetic positions within the electronic structure.

    View details for DOI 10.1021/nl201944h

    View details for Web of Science ID 000294790200060

    View details for PubMedID 21834542

  • Three-dimensional nanojunction device models for photovoltaics APPLIED PHYSICS LETTERS Wangperawong, A., Bent, S. F. 2011; 98 (23)

    View details for DOI 10.1063/1.3595411

    View details for Web of Science ID 000291658900066

  • Influence of organozinc ligand design on growth and material properties of ZnS and ZnO deposited by atomic layer deposition JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Tanskanen, J. T., Bakke, J. R., Pakkanen, T. A., Bent, S. F. 2011; 29 (3)

    View details for DOI 10.1116/1.3572232

    View details for Web of Science ID 000289689000019

  • Coverage dependence of glycine adsorption on the Ge(100)-2 x 1 surface SURFACE SCIENCE Kachian, J. S., Jung, S. J., Kim, S., Bent, S. F. 2011; 605 (7-8): 760-769
  • Molecular Layer Deposition of Functional Thin Films for Advanced Lithographic Patterning ACS APPLIED MATERIALS & INTERFACES Zhou, H., Bent, S. F. 2011; 3 (2): 505-511

    Abstract

    Photoresist materials comprise one of the main challenges faced by lithography to meet the requirements of electronic device size scaling. Here we report for the first time the use of molecular layer deposition (MLD) to produce photoresist materials with controllable placement of functional moieties. Polyurea resists films are deposited by MLD using urea coupling reactions between 1,4-phenylene diisocyanate (PDIC) and ethylenediamine (ED) or 2,2'-(propane-2,2-diylbis(oxy))diethanamine (PDDE) monomers in a layer-by-layer fashion with a linear growth rate, allowing acid-labile groups to be incorporated into the film at well-controlled positions. The films are deposited with stoichiometric compositions and have highly uniform surface morphology as investigated using atomic force microscopy. We show that acid treatment can cleave the backbone of the polyurea film at positions where the acid-labile groups are embedded. We further show that after soaking the polyurea film with photoacid generator (PAG), it acts as a photoresist material and we present several UV patterning demonstrations. This approach presents a new way to make molecularly designed resist films for lithography.

    View details for DOI 10.1021/am1010805

    View details for Web of Science ID 000287639400055

    View details for PubMedID 21302918

  • Effects of Self-Assembled Monolayers on Solid-State CdS Quantum Dot Sensitized Solar Cells ACS NANO Ardalan, P., Brennan, T. P., Lee, H., Bakke, J. R., Ding, I., McGehee, M. D., Bent, S. F. 2011; 5 (2): 1495-1504

    Abstract

    Quantum dot sensitized solar cells (QDSSCs) are of interest for solar energy conversion because of their tunable band gap and promise of stable, low-cost performance. We have investigated the effects of self-assembled monolayers (SAMs) with phosphonic acid headgroups on the bonding and performance of cadmium sulfide (CdS) solid-state QDSSCs. CdS quantum dots ∼2 to ∼6 nm in diameter were grown on SAM-passivated planar or nanostructured TiO(2) surfaces by successive ionic layer adsorption and reaction (SILAR), and photovoltaic devices were fabricated with spiro-OMeTAD as the solid-state hole conductor. X-ray photoelectron spectroscopy, Auger electron spectroscopy, ultraviolet-visible spectroscopy, scanning electron microscopy, transmission electron microscopy, water contact angle measurements, ellipsometry, and electrical measurements were employed to characterize the materials and the resulting device performance. The data indicate that the nature of the SAM tailgroup does not significantly affect the uptake of CdS quantum dots on TiO(2) nor their optical properties, but the presence of the SAM does have a significant effect on the photovoltaic device performance. Interestingly, we observe up to ∼3 times higher power conversion efficiencies in devices with a SAM compared to those without the SAM.

    View details for DOI 10.1021/nn103371v

    View details for Web of Science ID 000287553800093

    View details for PubMedID 21299223

  • Aqueous bath process for deposition of Cu2ZnSnS4 photovoltaic absorbers THIN SOLID FILMS Wangperawong, A., King, J. S., Herron, S. M., Tran, B. P., Pangan-Okimoto, K., Bent, S. F. 2011; 519 (8): 2488-2492
  • Tuning the reactivity of semiconductor surfaces by functionalization with amines of different basicity PROCEEDINGS OF THE NATIONAL ACADEMY OF SCIENCES OF THE UNITED STATES OF AMERICA Bent, S. F., Kachian, J. S., Rodriguez-Reyes, J. C., Teplyakov, A. V. 2011; 108 (3): 956-960

    Abstract

    Surface functionalization of semiconductors has been the backbone of the newest developments in microelectronics, energy conversion, sensing device design, and many other fields of science and technology. Over a decade ago, the notion of viewing the surface itself as a chemical reagent in surface reactions was introduced, and adding a variety of new functionalities to the semiconductor surface has become a target of research for many groups. The electronic effects on the substrate have been considered as an important consequence of chemical modification. In this work, we shift the focus to the electronic properties of the functional groups attached to the surface and their role on subsequent reactivity. We investigate surface functionalization of clean Si(100)-2 × 1 and Ge(100)-2 × 1 surfaces with amines as a way to modify their reactivity and to fine tune this reactivity by considering the basicity of the attached functionality. The reactivity of silicon and germanium surfaces modified with ethylamine (CH(3)CH(2)NH(2)) and aniline (C(6)H(5)NH(2)) is predicted using density functional theory calculations of proton attachment to the nitrogen of the adsorbed amine to differ with respect to a nucleophilic attack of the surface species. These predictions are then tested using a model metalorganic reagent, tetrakis(dimethylamido)titanium (((CH(3))(2)N)(4)Ti, TDMAT), which undergoes a transamination reaction with sufficiently nucleophilic amines, and the reactivity tests confirm trends consistent with predicted basicities. The identity of the underlying semiconductor surface has a profound effect on the outcome of this reaction, and results comparing silicon and germanium are discussed.

    View details for DOI 10.1073/pnas.1006656107

    View details for Web of Science ID 000286310300016

    View details for PubMedID 21068370

    View details for PubMedCentralID PMC3024700

  • Disulfide Passivation of the Ge(100)-2 x 1 Surface LANGMUIR Kachian, J. S., Tannaci, J., Wright, R. J., Tilley, T. D., Bent, S. F. 2011; 27 (1): 179-186

    Abstract

    Understanding the bonding of sulfur at the germanium surface is important to developing good passivation routes for germanium-based electronic devices. The adsorption behavior of ethyl disulfide (EDS) and 1,8-naphthalene disulfide (NDS) at the Ge(100)-2 × 1 surface has been studied under ultrahigh vacuum conditions to investigate both their fundamental reactivity and their effectiveness as passivants of this surface. X-ray photoelectron spectroscopy, multiple internal reflection-infrared spectroscopy, and density functional theory results indicate that both molecules adsorb via S-S dissociation at room temperature. Upon exposure to ambient air, the thiolate adlayer remains intact for both EDS- and NDS-functionalized surfaces, indicating the stability of this surface attachment. Although both systems resist oxidation compared to the bare Ge(100)-2 × 1 surface, the Ge substrate is significantly oxidized in all cases (17-57% relative to the control), with the NDS-passivated surface undergoing up to two times more oxidation than the EDS-passivated surface at the longest air exposure times studied. The difference in passivation capability is attributed to the difference in surface coverage on Ge(100)-2 × 1, where EDS adsorption leads to a saturation coverage 17% higher than that for NDS/Ge(100)-2 × 1.

    View details for DOI 10.1021/la103614f

    View details for Web of Science ID 000285560400025

    View details for PubMedID 21141841

  • Nanoengineering and interfacial engineering of photovoltaics by atomic layer deposition NANOSCALE Bakke, J. R., Pickrahn, K. L., Brennan, T. P., Bent, S. F. 2011; 3 (9): 3482-3508

    Abstract

    Investment into photovoltaic (PV) research has accelerated over the past decade as concerns over energy security and carbon emissions have increased. The types of PV technology in which the research community is actively engaged are expanding as well. This review focuses on the burgeoning field of atomic layer deposition (ALD) for photovoltaics. ALD is a self-limiting thin film deposition technique that has demonstrated usefulness in virtually every sector of PV technology including silicon, thin film, tandem, organic, dye-sensitized, and next generation solar cells. Further, the specific applications are not limited. ALD films have been deposited on planar and nanostructured substrates and on inorganic and organic devices, and vary in thickness from a couple of angstroms to over 100 nm. The uses encompass absorber materials, buffer layers, passivating films, anti-recombination shells, and electrode modifiers. Within the last few years, the interest in ALD as a PV manufacturing technique has increased and the functions of ALD have expanded. ALD applications have yielded fundamental understanding of how devices operate and have led to increased efficiencies or to unique architectures for some technologies. This review also highlights new developments in high throughput ALD, which is necessary for commercialization. As the demands placed on materials for the next generation of PV become increasingly stringent, ALD will evolve into an even more important method for research and fabrication of solar cell devices.

    View details for DOI 10.1039/c1nr10349k

    View details for Web of Science ID 000294472600004

    View details for PubMedID 21799978

  • Optical Response of 3D Nano-Architecture Solar Cells and Integration with 3D Device Physics Conference on Next Generation (Nano) Photonic and Cell Technologies for Solar Energy Conversion II Wangperawong, A., Haegglund, C., Bent, S. F. SPIE-INT SOC OPTICAL ENGINEERING. 2011

    View details for DOI 10.1117/12.893168

    View details for Web of Science ID 000303797800013

  • Atomic layer deposition of CdxZn1-xS films JOURNAL OF MATERIALS CHEMISTRY Bakke, J. R., Tanskanen, J. T., Jung, H. J., Sinclair, R., Bent, S. F. 2011; 21 (3): 743-751

    View details for DOI 10.1039/c0jm02786c

    View details for Web of Science ID 000285749900021

  • Adsorption Behavior of Bifunctional Molecules on Ge(100)-2 x 1: Comparison of Mercaptoethanol and Mercaptamine JOURNAL OF PHYSICAL CHEMISTRY C Kachian, J. S., Bent, S. F. 2010; 114 (50): 22230-22236

    View details for DOI 10.1021/jp1085894

    View details for Web of Science ID 000285236800047

  • Deposition of Ultrathin Polythiourea Films by Molecular Layer Deposition CHEMISTRY OF MATERIALS Loscutoff, P. W., Lee, H., Bent, S. F. 2010; 22 (19): 5563-5569

    View details for DOI 10.1021/cm1016239

    View details for Web of Science ID 000282471000019

  • Molecular Level Insights into Atomic Layer Deposition of CdS by Quantum Chemical Calculations JOURNAL OF PHYSICAL CHEMISTRY C Tanskanen, J. T., Bakke, J. R., Bent, S. F., Pakkanen, T. A. 2010; 114 (39): 16618-16624

    View details for DOI 10.1021/jp105911p

    View details for Web of Science ID 000282209800070

  • Reaction of tert-butyl isocyanate and tert-butyl isothiocyanate at the Ge(100)-2 x 1 Surface SURFACE SCIENCE Loscutoff, P. W., Wong, K. T., Bent, S. F. 2010; 604 (19-20): 1791-1799
  • Reaction of Phenyl Isocyanate and Phenyl Isothiocyanate with the Ge(100)-2 x 1 Surface JOURNAL OF PHYSICAL CHEMISTRY C Loscutoff, P. W., Wong, K. T., Bent, S. F. 2010; 114 (33): 14193-14201

    View details for DOI 10.1021/jp104388a

    View details for Web of Science ID 000280961800032

  • Atomic Layer Deposition of CdS Films CHEMISTRY OF MATERIALS Bakke, J. R., Jung, H. J., Tanskanen, J. T., Sinclair, R., Bent, S. F. 2010; 22 (16): 4669-4678

    View details for DOI 10.1021/cm100874f

    View details for Web of Science ID 000280855100021

  • Atomic layer deposition of ZnS via in situ production of H2S THIN SOLID FILMS BAKKE, J. R., King, J. S., Jung, H. J., Sinclair, R., Bent, S. F. 2010; 518 (19): 5400-5408
  • ALD Growth Characteristics of ZnS Films Deposited from Organozinc and Hydrogen Sulfide Precursors LANGMUIR Tanskanen, J. T., Bakke, J. R., Bent, S. F., Pakkanen, T. A. 2010; 26 (14): 11899-11906

    Abstract

    Growth characteristics of zinc sulfide thin films deposited from dialkylzinc and H(2)S reactants by the atomic layer deposition technique have been investigated by quantum chemical methods. The steady-state growth of the films was simulated by studying the reaction of the Zn precursor with the hydrogenated sulfur-terminated (111) surface of zincblende ZnS and then by investigating the chemisorption of hydrogen sulfide on the surface formed by the metal exposure. The behavior of the dissociatively chemisorbed Zn precursors on the growth surface is of particular significance for the film deposition process, since the film growth is limited by the Zn deposition step. Hydrogen sulfide exposure results in the replacement of the surface alkyl groups by SH surface species, whose vibrational features are useful in the experimental verification of the developed growth mechanisms.

    View details for DOI 10.1021/la101128w

    View details for Web of Science ID 000279756700043

    View details for PubMedID 20553010

  • Comparative Study of Titanium Dioxide Atomic Layer Deposition on Silicon Dioxide and Hydrogen-Terminated Silicon JOURNAL OF PHYSICAL CHEMISTRY C Methaapanon, R., Bent, S. F. 2010; 114 (23): 10498-10504

    View details for DOI 10.1021/jp1013303

    View details for Web of Science ID 000278479700025

  • Reaction Mechanism, Bonding, and Thermal Stability of 1-Alkanethiols Self-Assembled on Halogenated Ge Surfaces LANGMUIR Ardalan, P., Sun, Y., Pianetta, P., Musgrave, C. B., Bent, S. F. 2010; 26 (11): 8419-8429

    Abstract

    We have employed synchrotron radiation photoemission spectroscopy to study the reaction mechanism, surface bonding, and thermal stability of 1-octadecanethiolate (ODT) self-assembled monolayers (SAMs) at Cl- and Br-terminated Ge(100) surfaces. Density functional theory (DFT) calculations were also carried out for the same reactions. From DFT calculations, we have found that adsorption of 1-octadecanethiol on the halide-terminated surface via hydrohalogenic acid elimination is kinetically favorable on both Cl- and Br-terminated Ge surfaces at room temperature, but the reactions are more thermodynamically favorable at Cl-terminated Ge surfaces. After ODT SAM formation at room temperature, photoemission spectroscopy experiments show that Ge(100) and (111) surfaces contain monothiolates and possibly dithiolates together with unbound thiol and atomic sulfur. Small coverages of residual halide are also observed, consistent with predictions by DFT. Annealing studies in ultrahigh vacuum show that the Ge thiolates are thermally stable up to 150 degrees C. The majority of the surface thiolates are converted to sulfide and carbide upon annealing to 350 degrees C. By 430 degrees C, no sulfur remains on the surface, whereas Ge carbide is stable to above 470 degrees C.

    View details for DOI 10.1021/la904864c

    View details for Web of Science ID 000277928100104

    View details for PubMedID 20433151

  • Atomic Layer Deposition (ALD) Co-Deposited Pt-Ru Binary and Pt Skin Catalysts for Concentrated Methanol Oxidation CHEMISTRY OF MATERIALS Jiang, X., Guer, T. N., Prinz, F. B., Bent, S. F. 2010; 22 (10): 3024-3032

    View details for DOI 10.1021/cm902904u

    View details for Web of Science ID 000277635000002

  • Periodic Trends in Organic Functionalization of Group IV Semiconductor Surfaces ACCOUNTS OF CHEMICAL RESEARCH Kachian, J. S., Wong, K. T., Bent, S. F. 2010; 43 (2): 346-355

    Abstract

    Organic functionalization of group IV semiconductor surfaces provides a means to precisely control the interfacial properties of some of the most technologically important electronic materials in use today. The 2 x 1 reconstructed group IV (100) surfaces in ultrahigh vacuum, in particular, have a well-defined surface that allows adsorbate-surface interactions to be studied in detail. Surface dimers containing a strong sigma- and weak pi-bond form upon reconstruction of the group IV (100) surfaces, imparting a rich surface reactivity, which allows useful analogies to be made between reactions at the surface and those in classic organic chemistry. To date, most studies have focused on single substrates and a limited number of adsorbate functional groups. In this Account, we bring together experimental and theoretical results from several studies to investigate broader trends in thermodynamics and kinetics of organic molecules reacted with group IV (100)-2 x 1 surfaces. By rationalizing these trends in terms of simple periodic properties, we aim to provide guidelines by which to understand the chemical origin of the observed trends and predict how related molecules or functionalities will react. Results of experimental and theoretical studies are used to show that relative electronegativities and orbital overlap correlate well with surface-adsorbate covalent bond strength, while orbital overlap together with donor electronegativity and acceptor electron affinity correlate with surface-adsorbate dative bond strength. Using such simple properties as predictive tools is limited, of course, but theoretical calculations fill in some of the gaps. The predictive power inherent in periodic trends may be put to use in designing molecules for applications where controlled attachment of organic molecules to semiconductor surfaces is needed. Organic functionalization may facilitate the semiconductor industry's transition from traditional silicon-based architectures to other materials, such as germanium, that offer better electrical properties. Potential applications also exist in other fields ranging from organic and molecular electronics, where control of interfacial properties may allow coupling of traditional semiconductor technology with such developing technologies, to biosensors and nanoscale lithography, where the functionality imparted to the surface may be used directly. Knowledge of thermodynamic and kinetic trends and the fundamental basis of these trends may enable effective development of new functionalization strategies for such applications.

    View details for DOI 10.1021/ar900251s

    View details for Web of Science ID 000274765400018

    View details for PubMedID 20041705

  • Sputtered Pt-Ru Alloys as Catalysts for Highly Concentrated Methanol Oxidation JOURNAL OF THE ELECTROCHEMICAL SOCIETY Jiang, X., Guer, T. M., Prinz, F. B., Bent, S. F. 2010; 157 (3): B314-B319

    View details for DOI 10.1149/1.3273081

    View details for Web of Science ID 000274321900021

  • PHOSPHONATE SELF-ASSEMBLED MONOLAYERS AS ORGANIC LINKERS IN SOLID-STATE QUANTUM DOT SENSETIZED SOLAR CELLS Ardalan, P., Brennan, T. P., Bakke, J. R., Bent, S. F., IEEE IEEE. 2010: 951–54
  • Fabrication of organic thin films for copper diffusion barrier layers using molecular layer deposition Loscutoff, P., W., Clendenning, S., B., Bent, S., F. 2010
  • A CHEMICAL BATH PROCESS FOR DEPOSITING Cu2ZnSnS4 PHOTOVOLTAIC ABSORBERS 35th IEEE Photovoltaic Specialists Conference Wangperawong, A., King, J. S., Herron, S. M., Tran, B. P., Pangan-Okimoto, K., Bent, S. F. IEEE. 2010: 1986–1989
  • ALD co-deposited and core-shell Ru-Pt catalysts for concentrated methanol oxidation Chem. Mat Jiang, X., Gür, T., M., Prinz, F., B., Bent, S., F. 2010; 22: 3024-3032
  • Catalysts with Pt Surface Coating by Atomic Layer Deposition for Solid Oxide Fuel Cells JOURNAL OF THE ELECTROCHEMICAL SOCIETY Shim, J. H., Jiang, X., Bent, S. F., Prinz, F. B. 2010; 157 (6): B793-B797

    View details for DOI 10.1149/1.3368787

    View details for Web of Science ID 000277260200023

  • Formation of Organic Nanoscale Laminates and Blends by Molecular Layer Deposition ACS NANO Loscutoff, P. W., Zhou, H., Clendenning, S. B., Bent, S. F. 2010; 4 (1): 331-341

    Abstract

    Nanoscale organic films are important for many applications. We report on a system of molecular layer deposition that allows for the deposition of conformal organic films with thickness and composition control at the subnanometer length scale. Nanoscale polyurea films are grown on silica substrates in a layer-by-layer fashion by dosing 1,4-phenylene diisocyanate (PDIC) and ethylenediamine (ED) in the gas phase. Ellipsometry measurements indicate that the film growth occurs at a constant growth rate, with film thicknesses consistent with molecular distances calculated using density functional theory. Characterization of the films by Fourier transform infrared spectroscopy and X-ray photoelectron spectroscopy reveals formation of stable polyurea films with nearly stoichiometric composition, and transmission electron microscopy indicates that the films uniformly coat the substrate surface. Subnanometer control over the film composition was demonstrated using 2,2'-thiobis(ethylamine) (TBEA) as an alternate diamine to vary the composition of the films. By substituting TBEA for ED, blended films, with homogeneous composition through the film, and nanolaminates, with discrete layers of differing film chemistry, were created.

    View details for DOI 10.1021/nn901013r

    View details for Web of Science ID 000273863400043

    View details for PubMedID 20000603

  • Area Selective Atomic Layer Deposition by Microcontact Printing with a Water-Soluble Polymer JOURNAL OF THE ELECTROCHEMICAL SOCIETY Mullings, M. N., Lee, H., Marchack, N., Jiang, X., Chen, Z., Gorlin, Y., Lin, K., Bent, S. F. 2010; 157 (12): D600-D604

    View details for DOI 10.1149/1.3491376

    View details for Web of Science ID 000283938300050

  • Area-Selective ALD with Soft Lithographic Methods: Using Self-Assembled Monolayers to Direct Film Deposition JOURNAL OF PHYSICAL CHEMISTRY C Jiang, X., Bent, S. F. 2009; 113 (41): 17613-17625

    View details for DOI 10.1021/jp905317n

    View details for Web of Science ID 000270459900007

  • Photochemical Covalent Attachment of Alkene-Derived Monolayers onto Hydroxyl-Terminated Silica LANGMUIR ter Maat, J., Regeling, R., Yang, M., Mullings, M. N., Bent, S. F., Zuilhof, H. 2009; 25 (19): 11592-11597

    Abstract

    The functionalization of optically transparent substrates is of importance, for example, in the field of biosensing. In this article, a new method for modification of silica surfaces is presented that is based on a photochemical reaction of terminal alkenes with the surface. This yields highly hydrophobic surfaces, which are thermally stable up to at least 400 degrees C. The formed monolayer provides chemical passivation of the underlying surface, according to studies showing successful blocking of platinum atomic layer deposition (ALD). The reaction is photochemically initiated, requiring light with a wavelength below 275 nm. X-ray photoelectron spectroscopy and infrared spectroscopy studies show that the alkenes initially bind to the surface hydroxyl groups in Markovnikov fashion. At prolonged reaction times (>5 h), however, oligomerization occurs, resulting in layer growth normal to the surface. The photochemical nature of the reaction enables the use of photolithography as a tool to constructively pattern silica surfaces. Atomic force microscopy shows that the features of the photomask are well transferred. The newly developed method can complement existing patterning methods on silica that are based on soft lithography.

    View details for DOI 10.1021/la901551t

    View details for Web of Science ID 000270136900061

    View details for PubMedID 19583192

  • Growth Process of Polyaniline Thin Films Formed by Hot Wire CVD CHEMICAL VAPOR DEPOSITION Zaharias, G. A., Bent, S. F. 2009; 15 (4-6): 133-141
  • Sulfur versus Oxygen Reactivity of Organic Molecules at the Ge(100)-2x1 Surface JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Kachian, J. S., Bent, S. F. 2009; 131 (20): 7005-7015

    Abstract

    The adsorption behavior of sulfur- versus oxygen-containing organic molecules, including ethanol, ethanethiol, diethyl ether, and diethyl sulfide, at the Ge(100)-2 x 1 surface was investigated using a combination of multiple internal reflection infrared (MIR-IR) spectroscopy and density functional theory (DFT). The results show that ethanol and ethanethiol both adsorb via Ch-H dissociation at 310 K, where Ch (chalcogen) is either S or O. DFT calculations indicate that S-H dissociation is both kinetically and thermodynamically favored over O-H dissociation. IR spectra of diethyl ether and diethyl sulfide reveal that both molecules adsorb via dative bonding through the heteroatom for temperatures up to approximately 255 and 335 K, respectively, and reversibly desorb at higher temperatures. From these desorption temperatures, the S-Ge dative bond of a sulfide is calculated to be 5.9 kcal/mol stronger than the O-Ge dative bond of an ether, a trend consistent with results from DFT calculations. Moreover, for all of the molecules studied, SGe dative bonds are found to be stronger than O-Ge dative bonds, with the magnitude of the difference increasing with substitution of bulkier groups on the Ch atom of the adsorbate. Calculations on diethyl selenide show that the Se-Ge dative bond is slightly stronger than the S-Ge dative bond.

    View details for DOI 10.1021/ja808066t

    View details for Web of Science ID 000266484700043

    View details for PubMedID 19413324

  • Controlling Atomic Layer Deposition of TiO2 in Aerogels through Surface Functionalization CHEMISTRY OF MATERIALS Ghosal, S., Baumann, T. F., King, J. S., Kucheyev, S. O., Wang, Y., Worsley, M. A., Biener, J., Bent, S. F., Hamza, A. V. 2009; 21 (9): 1989-1992

    View details for DOI 10.1021/cm900636s

    View details for Web of Science ID 000265781000034

  • Formation of Alkanethiolate Self-Assembled Monolayers at Halide-Terminated Ge Surfaces LANGMUIR Ardalan, P., Musgrave, C. B., Bent, S. F. 2009; 25 (4): 2013-2025

    Abstract

    We have studied Ge halide passivation and formation of 1-octadecanethiolate self-assembled monolayers (SAMs) at Cl- and Br-terminated Ge(100) and Ge(111) surfaces. The results of water contact angle measurements, ellipsometry, transmission infrared spectroscopy, X-ray photoelectron spectroscopy, and Auger electron spectroscopy show that good quality 1-alkanethiolate SAMs can be achieved at both Cl- and Br-terminated surfaces via direct Ge-S bonds. The quality of the SAMs depends on the concentration and the solvent of the 1-alkanethiol solution. Moreover, SAMs formed at Ge(100) surfaces have higher water contact angles, thicknesses, and ambient stability than those formed at Ge(111) surfaces. Surface passivation and light are found to play an important role in the packing and stability of the SAMs. Furthermore, well-packed SAMs can be retrieved by repassivation after degradation due to ambient exposure. This work presents novel routes for Ge surface passivation.

    View details for DOI 10.1021/la803468e

    View details for Web of Science ID 000263373600023

    View details for PubMedID 19152272

  • Effects of Surface Functionalization on Titanium Dioxide Atomic Layer Deposition on Ge Surfaces 5th Symposium on Atomic Layer Deposition held as part of the 216th Meeting of the Electrochemical-Society (ECS) Ardalan, P., Musgrave, C. B., Bent, S. F. ELECTROCHEMICAL SOC INC. 2009: 131–39

    View details for DOI 10.1149/1.3205050

    View details for Web of Science ID 000337731900014

  • Metal Alloy Catalysts with Pt Surface Coating by Atomic Layer Deposition for Intermediate Temperature Ceramic Fuel Cells 5th Symposium on Atomic Layer Deposition held as part of the 216th Meeting of the Electrochemical-Society (ECS) Shim, J. H., Jiang, X., Bent, S., Prinz, F. B. ELECTROCHEMICAL SOC INC. 2009: 323–32

    View details for DOI 10.1149/1.3205067

    View details for Web of Science ID 000337731900031

  • Ultralow loading Pt nanocatalysts prepared by atomic layer deposition on carbon aerogels NANO LETTERS King, J. S., Wittstock, A., Biener, J., Kucheyev, S. O., Wang, Y. M., Baumann, T. F., Giri, S. K., Hamza, A. V., Baeumer, M., Bent, S. F. 2008; 8 (8): 2405-2409

    Abstract

    Using atomic layer deposition (ALD), we show that Pt nanoparticles can be deposited on the inner surfaces of carbon aerogels (CA). The resultant Pt-loaded materials exhibit high catalytic activity for the oxidation of CO even at loading levels as low as approximately 0.05 mg Pt/cm2. We observe a conversion efficiency of nearly 100% in the 150-250 degrees C temperatures range, and the total conversion rate seems to be limited only by the thermal stability of the CA support in ambient oxygen. The ALD approach described here is universal in nature, and can be applied to the design of new catalytic materials for a variety of applications, including fuel cells, hydrogen storage, pollution control, green chemistry, and liquid fuel production.

    View details for DOI 10.1021/nl801299z

    View details for Web of Science ID 000258440700051

    View details for PubMedID 18636780

  • Application of atomic layer deposition of platinum to solid oxide fuel cells CHEMISTRY OF MATERIALS Jiang, X., Huang, H., Prinz, F. B., Bent, S. F. 2008; 20 (12): 3897-3905

    View details for DOI 10.1021/cm7033189

    View details for Web of Science ID 000256854800020

  • Formation of an oxide-free Ge/TiO2 interface by atomic layer deposition on brominated Ge APPLIED PHYSICS LETTERS Ardalan, P., Pickett, E. R., Harris, J. S., Marshall, A. F., Bent, S. F. 2008; 92 (25)

    View details for DOI 10.1063/1.2951608

    View details for Web of Science ID 000257231200043

  • Plasma ash processing solutions for advanced interconnect technology 28th Dry Process Symposium (DPS) Fuller, N. C., Worsley, M. A., Tai, L., Bent, S., Labelle, C., Arnold, J., Dalton, T. ELSEVIER SCIENCE SA. 2008: 3558–63
  • Surface patterning: Silicon falls into line NATURE NANOTECHNOLOGY Bent, S. F. 2008; 3 (4): 185-186

    View details for DOI 10.1038/nnano.2008.79

    View details for Web of Science ID 000254744300003

    View details for PubMedID 18654496

  • Silicon falls into line Nature Nanotechnology Bent, S., F. 2008; 3: 185-186
  • Semiconductor Surface Chemistry CHEMICAL BONDING AT SURFACES AND INTERFACES Bent, S. F., Nilsson, A., Pettersson, L. G., Norskov, J. K. 2008: 323–95
  • Pt-Ru Alloys Deposited by Sputtering as Catalysts for Methanol Oxidation 8th Symposium on Proton Exchange Membrane Fuel Cells Jiang, X., Prinz, F. B., Bent, S. F. ELECTROCHEMICAL SOCIETY INC. 2008: 605–12

    View details for DOI 10.1149/1.2981895

    View details for Web of Science ID 000271859300058

  • Spatial control over atomic layer deposition using microcontact-printed resists 16th European Conference on Chemical Vapor Deposition Jiang, X., Chen, R., Bent, S. F. ELSEVIER SCIENCE SA. 2007: 8799–8807
  • A model neural interface based on functional chemical stimulation BIOMEDICAL MICRODEVICES Mehenti, N. Z., Fishman, H. A., Bent, S. F. 2007; 9 (4): 579-586

    Abstract

    While functional electrical stimulation has been applied to treat a variety of neurological disorders, it cannot mimic function that is primarily achieved using neurochemical means. In this work, we present a neurotransmitter-based prosthetic interface in the form of a flexible microdevice that selectively releases chemical pulses through an aperture in a polymer membrane. The release profiles through the aperture are controlled by microfluidic switching in an underlying channel network. The profiles have been characterized using fluorescence microscopy as a function of pulse duration and frequency. Hippocampal neurons were cultured on the microdevices and cell stimulation via glutamate delivery was detected using calcium imaging. The release properties could be tuned to repeatedly elicit discrete action potentials in cells seeded proximate to the aperture, including single cell stimulation at 2 Hz. This model neural interface based on functional chemical stimulation may provide the biomimetic platform necessary to restore physiological pathways and function that electrical stimulation cannot fundamentally address.

    View details for DOI 10.1007/s10544-007-9069-z

    View details for Web of Science ID 000248007500016

    View details for PubMedID 17520371

  • Heads or tails: Which is more important in molecular self-assembly? ACS NANO Bent, S. F. 2007; 1 (1): 10-12

    Abstract

    Self-assembled monolayers can modify the functionality of the surfaces on which they assemble. Because they alter the surface properties, self-assembled monolayers can be used for a multitude of applications. Understanding the forces that drive the formation of a self-assembled monolayer on a given surface remains an important area of investigation. A new paper discusses some of the considerations for self-assembly on semiconductors. The results highlight the tradeoffs between achieving crystalline packing of the tail groups and forming commensurate bonding between the head groups and the underlying surface. Where the emphasis should be placed depends on the application, but obtaining both interfacial and intermolecular ordering may be possible.

    View details for DOI 10.1021/nn700118k

    View details for Web of Science ID 000252012200007

    View details for PubMedID 19203125

  • Spatial cues for the enhancement of retinal pigment epithelial cell function in potential transplants BIOMATERIALS Lee, C. J., Fishman, H. A., Bent, S. F. 2007; 28 (13): 2192-2201

    Abstract

    Retinal pigment epithelial (RPE) cellular morphology and function are vital to the health of the retina. In age-related macular degeneration, RPE dysfunction and changes in Bruch's membrane occur. Thus, a potential cure is a dual-layer biomimetic transplant consisting of a layer of healthy RPE cells cultured on a support membrane. In this study, we investigated human anterior lens capsule as a replacement for Bruch's membrane and also explored different seeding methods as ways of inducing the desired cellular morphology and function. Using in vitro assays, we demonstrated that RPE cells cultured on lens capsule exhibited epithelial characteristics, such as the presence of actin belts and the formation of tight junctions in the monolayer. Bovine photoreceptor outer segments were also incubated with the RPE cells in order to quantify the binding and ingestion activity of the RPE cells. With these assays, we determined that cells seeded by centrifugation appeared to possess the most epithelial-like morphology, with the shortest overall length and the smallest elongation. They also exhibited enhanced metabolic activity, with a 1.5-fold increase over conventional gravity seeding. Thus, the spatial cues provided by centrifugation may assist cells in assuming native RPE function. Therefore, a dual-layer transplant, with RPE cells organized by centrifugation onto lens capsule, appears promising in achieving native retinal function.

    View details for DOI 10.1016/j.biomaterials.2007.01.018

    View details for Web of Science ID 000244947100004

    View details for PubMedID 17267030

  • Thin collagen film scaffolds for retinal epithelial cell culture BIOMATERIALS Lu, J. T., Lee, C. J., Bent, S. F., Fishman, H. A., Sabelman, E. E. 2007; 28 (8): 1486-1494

    Abstract

    Collagen films have been used in biological implantation and surgical grafts. The development of thin collagen films on the order of 10 microm thick that ensure a planar distribution of implanted cells is a necessary step towards surgical grafts for treatment of age-related macular degeneration (AMD). Here, collagen films were manufactured on a Teflon support to a thickness of 2.4+/-0.2 microm, comparable to that of native Bruch's membrane. Because one important function of Bruch's membrane is allowing the flow of nutrients and waste to and from the retinal pigment epithelium the diffusion properties of the collagen films were studied using blind-well chambers. The diffusion coefficient of the collagen film was determined to be 4.1 x 10(-10)cm(2)/s for 71,200 Da dextran molecules. Viability studies utilizing the blind-well chambers also confirmed that nutrient transport through the films was sufficient to sustain retinal pigment epithelial (RPE) cells. The films were bioassayed in a RPE cell culture model to confirm cell attachment and viability. RPE cells were shown to form an epithelial phenotype and were able to phagocytize photoreceptor outer segments.

    View details for DOI 10.1016/j.biomaterials.2006.11.023

    View details for Web of Science ID 000244130000004

    View details for PubMedID 17161864

  • Carbon-oxygen coupling in the reaction of formaldehyde on Ge(100)-2x1 JOURNAL OF PHYSICAL CHEMISTRY C Filler, M. A., Musgrave, C. B., Bent, S. F. 2007; 111 (4): 1739-1746

    View details for DOI 10.1021/jp064820v

    View details for Web of Science ID 000245005500029

  • ALD resist formed by vapor-deposited self-assembled monolayers LANGMUIR Hong, J., Porter, D. W., Sreenivasan, R., McIntyre, P. C., Bent, S. F. 2007; 23 (3): 1160-1165

    Abstract

    A new process of applying molecular resists to block HfO2 and Pt atomic layer deposition has been investigated. Monolayer films are formed from octadecyltrichlorosilane (ODTS) or tridecafluoro-1,1,2,2-tetrahydrooctyltrichlorosilane (FOTS) and water vapor on native silicon oxide surfaces and from 1-octadecene on hydrogen-passivated silicon surfaces through a low-pressure chemical vapor deposition process. X-ray photoelectron spectroscopy data indicates that surfaces blocked by these monolayer resists can prevent atomic layer deposition of both HfO2 and Pt successfully. Time-dependent studies show that the ODTS monolayers continue to improve in blocking ability for as long as 48 h of formation time, and infrared spectroscopy measurements confirm an evolution of packing order over these time scales.

    View details for DOI 10.1021/la0606401

    View details for Web of Science ID 000243684100033

    View details for PubMedID 17241027

  • Thermal control of amide product distributions at the Ge(100)-2x1 surface JOURNAL OF PHYSICAL CHEMISTRY C Keung, A. J., Filler, M. A., Bent, S. F. 2007; 111 (1): 411-419

    View details for DOI 10.1021/jp065278d

    View details for Web of Science ID 000244993800060

  • Area-selective atomic layer deposition of platinum on YSZ substrates using microcontact printed SAMs JOURNAL OF THE ELECTROCHEMICAL SOCIETY Jiang, X., Bent, S. F. 2007; 154 (12): D648-D656

    View details for DOI 10.1149/1.2789301

    View details for Web of Science ID 000250504600043

  • Spatial clues for the enhancement of retinal pigment epithelial cell function in potential implants Biomaterials Lee, C., J., Fishman, H., A., Bent, S., F. 2007; 28: 2192-2201
  • Effect of radical species density and ion bombardment during ashing of extreme ultralow-kappa interlevel dielectric materials JOURNAL OF APPLIED PHYSICS Worsley, M. A., Bent, S. F., Fuller, N. C., Tai, T. L., Doyle, J., Rothwell, M., Dalton, T. 2007; 101 (1)

    View details for DOI 10.1063/1.2405123

    View details for Web of Science ID 000243585200017

  • Characterization of neutral species densities in dual frequency capacitively coupled photoresist ash plasmas by optical emission actinometry JOURNAL OF APPLIED PHYSICS Worsley, M. A., Bent, S. F., Fuller, N. C., Dalton, T. 2006; 100 (8)

    View details for DOI 10.1063/1.2358303

    View details for Web of Science ID 000241721900013

  • Highly stable monolayer resists for atomic layer deposition on germanium and silicon CHEMISTRY OF MATERIALS Chen, R., Bent, S. F. 2006; 18 (16): 3733-3741

    View details for DOI 10.1021/cm0607785

    View details for Web of Science ID 000239396900021

  • A model retinal interface based on directed neuronal growth for single cell stimulation BIOMEDICAL MICRODEVICES Mehenti, N. Z., Tsien, G. S., Leng, T., Fishman, H. A., Bent, S. F. 2006; 8 (2): 141-150

    Abstract

    In this work, we use cell micropatterning technologies to direct neuronal growth to individual electrodes, and demonstrate that such an approach can achieve selective stimulation and lower stimulation thresholds than current field-effect based retinal prostheses. Rat retinal ganglion cells (RGCs) were purified through immunopanning techniques, and microcontact printing (microCP) was applied to align and pattern laminin on a microelectrode array, on which the RGCs were seeded and extended neurites along the pattern to individual electrodes. The stimulation threshold currents of RGCs micropatterned to electrodes were found to be significantly less than those of non-patterned RGCs over a wide range of electrode-soma distances, as determined with calcium imaging techniques. Moreover, the stimulation threshold for micropatterned cells was found to be independent of electrode-soma distance, and there was no significant effect of microCP on cell excitability. The effects of additional stimulation parameters, such as electrode size and pulse duration, on threshold currents were determined. The stimulation results quantitatively demonstrate the potential benefits of a retinal prosthetic interface based on directed neuronal growth.

    View details for DOI 10.1007/s10544-006-7709-3

    View details for Web of Science ID 000237486500006

    View details for PubMedID 16688573

  • Detecting free radicals during the hot wire chemical vapor deposition of amorphous silicon carbide films using single-source precursors JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Zaharias, G. A., Duan, H. L., Bent, S. F. 2006; 24 (3): 542-549

    View details for DOI 10.1116/1.2194023

    View details for Web of Science ID 000238091300023

  • Characterization of polyconjugated thin films synthesized by hot-wire chemical vapor deposition of aniline 3rd International Conference on Hot-Wire CVD (Cat-CVD) Process Zaharias, G. A., Shi, H. H., Bent, S. F. ELSEVIER SCIENCE SA. 2006: 341–45
  • Chemistry for positive pattern transfer using area-selective atomic layer deposition ADVANCED MATERIALS Chen, R., Bent, S. F. 2006; 18 (8): 1086-?
  • Formation of surface-bound acyl groups by reaction of acyl halides on Ge(100)-2x1 JOURNAL OF PHYSICAL CHEMISTRY B Filler, M. A., Keung, A. J., Porter, D. W., Bent, S. F. 2006; 110 (9): 4115-4124

    Abstract

    We have investigated the reaction of a series of acyl halides, including acetyl chloride, acetyl bromide, acetyl-d3 chloride, benzoyl chloride, and pivaloyl chloride, on Ge(100)-2x1 with multiple internal reflection infrared (MIR-IR) spectroscopy, X-ray photoelectron spectroscopy (XPS), and density functional theory (DFT). Infrared spectra following saturation exposures of acetyl chloride and acetyl bromide to Ge(100)-2x1 at 310 K are nearly identical, both exhibiting strong nu(C=O) stretching peaks near 1685 cm-1 and no vibrational modes in the nu(Ge-H) region. These data provide strong evidence for the presence of a surface-bound acetyl group on Ge(100)-2x1, which results from a C-X dissociation reaction (where X=Cl, Br). For acetyl chloride, DFT calculations predict that the barrier to C-Cl dissociation is only 1 kcal/mol above a chlorine-bound precursor state and is considerably smaller than barriers leading to the [2+2] C=O cycloaddition and alpha-CH dissociation products. In addition to the C-X dissociation product, both infrared and photoelectron results point to the presence of a second structure for acetyl halides where the oxygen of the surface-bound acetyl group donates charge to a nearby surface atom. This interaction is not observed for benzoyl chloride and pivaloyl chloride.

    View details for DOI 10.1021/jp055685+

    View details for Web of Science ID 000235944500045

    View details for PubMedID 16509705

  • Determination of human lens capsule permeability and its feasibility as a replacement for Bruch's membrane BIOMATERIALS Lee, C. J., Vroom, J. A., Fishman, H. A., Bent, S. F. 2006; 27 (8): 1670-1678

    Abstract

    We have investigated human anterior lens capsule as a potential replacement for Bruch's membrane as a treatment for age-related macular degeneration. Any substrate to replace Bruch's membrane should possess certain characteristics to maintain proper function of the overlying retina. One of the important properties of Bruch's membrane is allowing the flow of nutrients and waste between the retinal pigment epithelium and the choriocapillaris. Here, we measured the permeability of the lens capsule by studying the diffusion of various molecular weight FITC-dextran molecules. Expressions for extraction of diffusion coefficients from concentration vs. time data from a blind-well chamber apparatus were derived for both a single and double membrane experiments. The diffusion coefficients in the lens capsule were found to be in the range of 10(-6) to 10(-10)cm2/s. We demonstrated a power law relationship, with the diffusion coefficient possessing a -0.6 order dependence on molecular weight. The molecular weight exclusion limit was determined to be 150+/-40 kDa. We have compared this value with reported values of Bruch's membrane molecular weight exclusion limit and find that the lens capsule has the potential to act as a substitute Bruch's membrane.

    View details for DOI 10.1016/j.biomaterials.2005.09.008

    View details for Web of Science ID 000234731900055

    View details for PubMedID 16199085

  • Carboxylic acid chemistry at the Ge(100)-2 x 1 interface: Bidentate bridging structure formation on a semiconductor surface JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Filler, M. A., Van Deventer, J. A., Keung, A. J., Bent, S. F. 2006; 128 (3): 770-779

    Abstract

    The reactions of acetic acid, acetic-d3 acid-d, and formic acid with the Ge(100)-2 x 1 surface have been investigated using multiple internal reflection Fourier transform infrared (MIR-FTIR) spectroscopy, X-ray photoelectron spectroscopy (XPS), and density functional theory (DFT) calculations. The infrared and photoelectron data provide experimental evidence for an O-H dissociation product at 310 K. DFT calculations indicate that the O-H dissociation pathway is significantly favored, both kinetically and thermodynamically, over other potential reaction pathways. All of the carboxylic acids studied exhibit unexpected vibrational modes between 1400 and 1525 cm(-1), which are attributed to the presence of a bidentate bridging structure where both oxygen atoms interact directly with the surface.

    View details for DOI 10.1021/ja0549502

    View details for Web of Science ID 000234815000040

    View details for PubMedID 16417366

  • Reactivity of the germanium surface: Chemical passivation and functionalization ANNUAL REVIEW OF PHYSICAL CHEMISTRY Loscutoff, P. W., Bent, S. F. 2006; 57: 467-495

    Abstract

    With the rapidly changing materials needs of modern microelectronics, germanium provides an opportunity for future-generation devices. Controlling germanium interfaces will be essential for this purpose. We review germanium surface reactivity, beginning with a description of the most commonly used surfaces, Ge(100) and Ge(111). An analysis of oxide formation shows why the poor oxide properties have hindered practical use of germanium to date. This is followed by an examination of alternate means of surface passivation, with particular attention given to sulfide, chloride, and hydride termination. Specific tailoring of the interface properties is possible through organic functionalization. The few solution functionalization methods that have been studied are reviewed. Vacuum functionalization has been studied to a much greater extent, with dative bonding and cycloaddition reactions emerging as principle reaction mechanisms. These are reviewed through molecular reaction studies that demonstrate the versatility of the germanium surface.

    View details for DOI 10.1146/annurev.physchem.56.092503.141307

    View details for Web of Science ID 000237668700016

    View details for PubMedID 16599818

  • Analysis of plasma-induced modification of ULK and eULK materials: Dual damascene processing challenges for 45nm (kappa <= 2.4) and beyond BEOL technologies PROCEEDINGS OF THE IEEE 2006 INTERNATIONAL INTERCONNECT TECHNOLOGY CONFERENCE Fuller, N. C., Worsley, M. A., Nitta, S., Dalton, T., Tai, T. L., Bent, S., Magbitang, T., Dubois, G., Miller, R., Volksen, W., Sankar, M., Purushothaman, S. 2006: 24-26
  • Area selective atomic layer deposition by soft lithography Chen, R., Kim, H., Porter, D., W., McIntyre, P., C., Bent, S., F. 2006
  • Tertiary amide chemistry at the Ge(100)-2 x 1 surface SURFACE SCIENCE Keung, A. J., Filler, M. A., Porter, D. W., Bent, S. F. 2005; 599 (1-3): 41-54
  • Ethylenediamine on Ge(100)-2 x 1: The role of interdimer interactions JOURNAL OF PHYSICAL CHEMISTRY B Kim, A., Filler, M. A., Kim, S., Bent, S. F. 2005; 109 (42): 19817-19822

    Abstract

    We have investigated the reaction of the bifunctional molecule ethylenediamine on Ge(100)-2 x 1 using multiple internal reflection Fourier transform infrared spectroscopy, X-ray photoelectron spectroscopy, and density functional theory calculations. Ethylenediamine exhibits different adsorption behavior than simple methylamines on the Ge(100)-2 x 1 surface. At low coverages, ethylenediamine undergoes dissociative chemisorption via an interdimer dual N-H dissociation reaction. As coverage increases, the N-H dissociation reaction is inhibited and formation of a Ge-N dative-bonded structure dominates.

    View details for DOI 10.1021/jp054340o

    View details for Web of Science ID 000232857900037

    View details for PubMedID 16853562

  • Detection of open or closed porosity in low-kappa dielectrics by solvent diffusion MICROELECTRONIC ENGINEERING Worsley, M. A., Roberts, M., Bent, S. F., GATES, S. M., Shaw, T., Volksen, W., Miller, R. 2005; 82 (2): 113-118
  • The influence of filament material on radical production in hot wire chemical vapor deposition of a-Si : H THIN SOLID FILMS Duan, H. L., Bent, S. F. 2005; 485 (1-2): 126-134
  • Achieving area-selective atomic layer deposition on patterned substrates by selective surface modification APPLIED PHYSICS LETTERS Chen, R., Kim, H., McIntyre, P. C., Porter, D. W., Bent, S. F. 2005; 86 (19)

    View details for DOI 10.1063/1.1922076

    View details for Web of Science ID 000229397900026

  • Layer-by-layer growth on Ge(100) via spontaneous urea coupling reactions JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Kim, A., Filler, M. A., Kim, S., Bent, S. F. 2005; 127 (16): 6123-6132

    Abstract

    We have demonstrated the layer-by-layer growth, via a urea coupling reaction between two bifunctional molecules, ethylenediamine and 1,4-phenylene diisocyanate, to form an ultrathin film on Ge(100)-2 x 1 at room temperature under vacuum conditions. The initial adsorption and subsequent growth of each layer was studied with multiple internal reflection Fourier transform infrared (MIR-FTIR) spectroscopy. Ethylenediamine reacts with Ge(100)-2 x 1 to produce a surface-bound amine group which is available for additional reaction. Subsequent exposure of 1,4-phenylene diisocyanate leads to a spontaneous urea coupling reaction between the surface-bound amine and the highly reactive isocyanate functional group. Three bands at 1665, 1512, and 1306 cm(-)(1) are characteristic of a urea linkage and provide evidence of the coupling reaction. The coupling procedure can be repeated in a binary fashion to create covalently bound ultrathin films at room temperature, and in the present work, we demonstrate the successful growth of four layers. In addition, we have found that an initial exposure of 1,4-phenylene diisocyanate to Ge(100)-2 x 1 produces an isocyanate-functionalized surface which, upon exposure to ethylenediamine, also forms urea linkages. This layer-by-layer deposition method provides a strategy with which to design and produce precisely tailored organic materials at semiconductor interfaces.

    View details for DOI 10.1021/ja042751x

    View details for Web of Science ID 000228602600077

    View details for PubMedID 15839714

  • Effect of plasma interactions with low-kappa films as a function of porosity, plasma, chemistry, and temperature JOURNAL OF VACUUM SCIENCE & TECHNOLOGY B Worsley, M. A., Bent, S. F., GATES, S. M., Fuller, N. C., Volksen, W., Steen, M., Dalton, T. 2005; 23 (2): 395-405

    View details for DOI 10.1116/1.1861038

    View details for Web of Science ID 000228788600011

  • Investigation of self-assembled monolayer resists for hafnium dioxide atomic layer deposition CHEMISTRY OF MATERIALS Chen, R., Kim, H., McIntyre, P. C., Bent, S. F. 2005; 17 (3): 536-544

    View details for DOI 10.1021/cm0486666

    View details for Web of Science ID 000226804000011

  • Quantum chemistry based statistical mechanical model of hydrogen desorption from Si(100)-2 x 1, Ge(100)-2 x 1, and SiGe alloy surfaces JOURNAL OF PHYSICAL CHEMISTRY B Mui, C., Bent, S. F., Musgrave, C. B. 2004; 108 (47): 18243-18253

    View details for DOI 10.1021/jp0379493

    View details for Web of Science ID 000225227700021

  • Directed retinal nerve cell growth for use in a retinal prosthesis interface INVESTIGATIVE OPHTHALMOLOGY & VISUAL SCIENCE Leng, T., Wu, P., Mehenti, N. Z., Bent, S. F., Marmor, M. F., Blumenkranz, M. S., Fishman, H. A. 2004; 45 (11): 4132-4137

    Abstract

    Retinal prosthetic devices that use microelectrode arrays to stimulate retinal nerve cells may provide a viable treatment for degenerative retinal diseases. Current devices are based on electrical field-effect stimulation of remaining functional neural elements. However, the distance between target neurons and electrodes limits the potential density of electrodes and the ability to stimulate specific types of retinal neurons that contribute to visual perceptions. This study was conducted to investigate the use of microcontact printing (muCP) to direct cultured or explant retinal ganglion cell (RGC) neurites to precise and close stimulation positions and to evaluate the cell types that grow from a retinal explant.RGCs and whole retinal explants were isolated from postnatal day-7 Sprague-Dawley rats using immunopanning purification and microdissection, respectively. Aligned muCP was used to direct the growth of RGC neurites from pure cultures (n=105) and retinal explants (n=64) along laminin patterns and to individual microelectrodes. Immunofluorescence stains (n=39) were used to determine the cell types that grew out from the retinal explants.RGC neurite growth was directed reproducibly along aligned laminin micropatterns to individual microelectrodes in pure RGC cultures and from full-thickness explanted rat retinas in 92% of experiments, neurites from pure RGC cultures extended along the laminin lines with an average length of 263 +/- 118 microm (SD; n=27) after 24 hours. Neurites from retinal explants extended in more than 80% of experiments and were observed to grow to an average length of 279 +/- 78 microm (n=64) after 2 days in culture. These neurites grew up to 3 mm after 1 month of culture on the laminin micropatterns. Immunohistochemical stains demonstrated that extended processes from both RGCs and glial cells grew out of retinal explants onto stamped laminin lines.Using muCP to pattern surfaces with growth factors, individual neuronal processes from pure RGC culture and whole retinal explants can be directed to discrete sites on a microelectronic chip surface. By directing RGC neurite processes to specific sites, single cell stimulation becomes possible. This may allow discrete populations of retinal neurons to be addressed so that physiologic retinal processing of visual information can be achieved.

    View details for DOI 10.1167/iovs.03-1335

    View details for PubMedID 15505066

  • A density functional theory study on the effect of Ge alloying on hydrogen desorption from SiGe alloy surfaces JOURNAL OF PHYSICAL CHEMISTRY B Mui, C., Bent, S. F., Musgrave, C. B. 2004; 108 (20): 6336-6350

    Abstract

    We have used density functional theory to investigate hydrogen desorption from SiGe alloy surfaces, and the effect of Ge alloying on the kinetics of hydrogen desorption via the prepairing and interdimer mechanisms. We find that the calculated activation barriers of the prepairing mechanism are affected by the surface atom bonded to the desorbing hydrogen atoms. On the other hand, our calculations show that the activation barrier for hydrogen desorption via the 2H interdimer mechanism is affected by all four surface atoms of the two neighboring dimers. For the 4H interdimer mechanism, we have shown that the activation barrier for hydrogen desorption is not significantly higher than the endothermicity of hydrogen desorption. We also find that the calculated activation barriers of the interdimer mechanisms are generally lower than those of the prepairing mechanism. In addition, our calculations show that surface Ge atoms on neighboring dimers on SiGe alloy surfaces have a minor effect on the calculated activation barriers of both the prepairing and interdimer mechanisms, which indicates that the effect of Ge alloying on hydrogen desorption is local in nature. We also discuss the effects of cluster size and constraints on the calculated reaction energies and activation barriers of hydrogen desorption via the two mechanisms.

    View details for DOI 10.1021/jp037948a

    View details for Web of Science ID 000221455000031

    View details for PubMedID 18950120

  • Self-assembled monolayer resist for atomic layer deposition of HfO2 and ZrO2 high-kappa gate dielectrics APPLIED PHYSICS LETTERS Chen, R., Kim, H., McIntyre, P. C., Bent, S. F. 2004; 84 (20): 4017-4019

    View details for DOI 10.1063/1.1751211

    View details for Web of Science ID 000221269800021

  • Controlling cell adhesion on human tissue by soft lithography LANGMUIR Lee, C. J., Blumenkranz, M. S., Fishman, H. A., Bent, S. F. 2004; 20 (10): 4155-4161

    Abstract

    Soft lithographic techniques are widely used for fundamental biological applications. This study investigates the extension of soft lithography for use on human tissue to create a biological implant by systematically studying the effect of pattern size on cellular morphology. We focus on mimicking a key layer of the physiological retina with an organized monolayer of epithelial cells to act as a new treatment for age-related macular degeneration. We show that epithelial cells can be confined to cytophilic islands defined on lens capsule by the inhibitory polymer poly(vinyl alcohol). In addition, as the size of the cytophilic islands grows, both the fraction of islands with cells attached and the number of cells adhered to each island increase. High densities of cell adhesion and single cell attachment per island were achieved with a 25 microm pattern size. Over time, the cells spread over the 5 microm wide barriers to form a confluent monolayer that may eventually serve as a functional retinal implant. With the ability to apply soft lithography to tissue samples, human tissue may become a universal membrane substrate for other ocular diseases or in tissue engineering applications elsewhere in the body.

    View details for DOI 10.1021/la035467c

    View details for Web of Science ID 000221319400049

    View details for PubMedID 15969410

  • A quantum chemistry based statistical mechanical model of hydrogen desorption from Si(100)‑2x1, Ge(100)‑2x1, and SiGe alloy surfaces J. Phys. Chem. B Mui, C., Bent, S., F., Musgrave, C., B. 2004; 108: 12559-12565
  • Hot wire chemical vapor deposition as a novel synthetic method for electroactive organic thin films Symposium on Flexible Electronics-Materials and Device Technology held at the 2004 MRS Spring Meeting Zaharias, G. A., Shi, H. H., Bent, S. F. MATERIALS RESEARCH SOCIETY. 2004: 361–366
  • Controlling area-selective atomic layer deposition of HfO2 dielectric by self-assembled monolayers Symposium on Integration of Advanced Micro-and Nanoelectronic Devices held at the 2004 MRS Spring Meeting Chen, R., Kim, H., McIntyre, P. C., Bent, S. F. MATERIALS RESEARCH SOCIETY. 2004: 57–62
  • Hot wire chemical vapor deposition as a novel synthetic method for electroactive organic thin films Zaharias, G., A., Shi, H., H., Bent, S., F. 2004
  • Pushing the limits of artificial vision IEEE Potentials Mehenti, N., Z., Fishman, H., A., Bent, S., F. 2004; 23: 21-23
  • Controlling area-selective atomic layer deposition of HfO2 dielectric by self-assembled monolayers Chen, R., Kim, H., McIntyre, P., C., Bent, S., F. 2004
  • Reactions of nitriles at semiconductor surfaces JOURNAL OF PHYSICAL CHEMISTRY B Mui, C., Filler, M. A., Bent, S. F., Musgrave, C. B. 2003; 107 (44): 12256-12267

    View details for DOI 10.1021/jp034864t

    View details for Web of Science ID 000186282200030

  • The artificial synapse chip: A flexible retinal interface based on directed retinal cell growth and neurotransmitter stimulation ARTIFICIAL ORGANS Peterman, M. C., Mehenti, N. Z., Bilbao, K. V., Lee, C. J., Leng, T., Noolandi, J., Bent, S. F., Blumenkranz, M. S., Fishman, H. A. 2003; 27 (11): 975-985

    Abstract

    The Artificial Synapse Chip is an evolving design for a flexible retinal interface that aims to improve visual resolution of an electronic retinal prosthesis by addressing cells individually and mimicking the physiological stimulation achieved in synaptic transmission. We describe three novel approaches employed in the development of the Artificial Synapse Chip: (i) micropatterned substrates to direct retinal cell neurite growth to individual stimulation sites; (ii) a prototype retinal interface based on localized neurotransmitter delivery; and (iii) the use of soft materials to fabricate these devices. By patterning the growth of cells to individual stimulation sites, we can improve the selectivity of stimulation and decrease the associated power requirements. Moreover, we have microfabricated a neurotransmitter delivery system based on a 5- micro m aperture in a 500-nm-thick silicon nitride membrane overlying a microfluidic channel. This device can release neurotransmitter volumes as small as 2 pL, demonstrating the possibility of chemical-based prostheses. Finally, we have fabricated and implanted an equivalent device using soft flexible materials that conform to the retinal tissue more effectively. As many of the current retinal prosthesis devices use hard materials and electrical excitation at a lower resolution, our approach may provide more physiologic retinal stimulation.

    View details for Web of Science ID 000186491900003

    View details for PubMedID 14616516

  • The surface as molecular reagent: organic chemistry at the semiconductor interface PROGRESS IN SURFACE SCIENCE Filler, M. A., Bent, S. F. 2003; 73 (1-3): 1-56
  • Localized neurotransmitter release for use in a prototype retinal interface INVESTIGATIVE OPHTHALMOLOGY & VISUAL SCIENCE Peterman, M. C., Bloom, D. M., Lee, C., Bent, S. F., Marmor, M. E., Blumenkranz, M. S., Fishman, H. A. 2003; 44 (7): 3144-3149

    Abstract

    Current neural prostheses use electricity as the mode of stimulation, yet information transfer in neural circuitry is primarily through chemical transmitters. To address this disparity, this study was conducted to devise a prototype interface for a retinal prosthetic based on localized chemical delivery. The goal was to determine whether fluidic delivery through microfabricated apertures could be used to stimulate at single-cell dimensions.A drug delivery system was microfabricated based on a 5- or 10- microm aperture in a 500-nm thick silicon nitride membrane to localize and limit transmitter release. The aperture overlies a microfluidic delivery channel in a silicone elastomer. To demonstrate the effectiveness of this transmitter-based prosthesis, rat pheochromocytoma cells (PC12 cell line) were grown on the surface of the device to test the precision of stimulation, using bradykinin as a stimulant and measuring fluorescence from the calcium indicator, fluo-4.The extent of stimulation could be controlled accurately by varying the concentration of stimulant, from a single cell adjacent to the aperture to a broad area of cells. The stimulation radius was as small as 10 microm, corresponding to stimulation volumes as small as 2 pL. The relationship between the extent of stimulation and concentration was linear.The demonstration of localized chemical stimulation of excitable cells illustrates the potential of this technology for retinal prostheses. Although this is only a proof of concept of neurotransmitter stimulation for a retinal prosthesis, it is a significant first step toward mimicking neurotransmitter release during synaptic transmission.

    View details for DOI 10.1167/iovs.02-1097

    View details for PubMedID 12824264

  • Reactions of cyclic aliphatic and aromatic amines on Ge(100)-2x1 and Si(100)-2x1 JOURNAL OF PHYSICAL CHEMISTRY B Wang, G. T., Mui, C., Tannaci, J. F., Filler, M. A., Musgrave, C. B., Bent, S. F. 2003; 107 (21): 4982-4996

    View details for DOI 10.1021/jp026864j

    View details for Web of Science ID 000183066000014

  • Competition and selectivity in the reaction of nitriles on Ge(100)-2x1 JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Filler, M. A., Mui, C., Musgrave, C. B., Bent, S. F. 2003; 125 (16): 4928-4936

    Abstract

    We have experimentally investigated bonding of the nitrile functional group (R-Ctbd1;N:) on the Ge(100)-2x1 surface with multiple internal reflection infrared spectroscopy. Density functional theory calculations are used to help explain trends in the data. Several probe molecules, including acetonitrile, 2-propenenitrile, 3-butenenitrile, and 4-pentenenitrile, were studied to elucidate the factors controlling selectivity and competition on this surface. It is found that acetonitrile does not react on the Ge(100)-2x1 surface at room temperature, a result that can be understood with thermodynamic and kinetic arguments. A [4+2] cycloaddition product through the conjugated pi system and a [2+2] C=C cycloaddition product through the alkene are found to be the dominant surface adducts for the multifunctional molecule 2-propenenitrile. These two surface products are evidenced, respectively, by an extremely intense nu(C=C=N), or ketenimine stretch, at 1954 cm(-)(1) and the nu(Ctbd1;N) stretch near 2210 cm(-)(1). While the non-conjugated molecules 3-butenenitrile and 4-pentenenitrile are not expected to form a [4+2] cycloaddition product, both show vibrational modes near 1954 cm(-)(1). Additional investigation suggests that 3-butenenitrile can isomerize to 2-butenenitrile, a conjugated nitrile, before introduction into the vacuum chamber, explaining the presence of the vibrational modes near 1954 cm(-)(1). Pathways directly involving only the nitrile functional group are thermodynamically unfavorable at room temperature on Ge(100)-2x1, demonstrating that this functional group may prove useful as a vacuum-compatible protecting group.

    View details for DOI 10.1021/ja027887e

    View details for Web of Science ID 000182331800052

    View details for PubMedID 12696912

  • The study of modified layers in SiCOH dielectrics using spectroscopic ellipsometry Worsley, M., A., Bent, S., F., Gates, S., M., Kumar, K., Dalton, T., Hedrick, J., C. 2003
  • The study of modified layers in SiCOH dielectrics using spectroscopic ellipsometry Symposium on Materials, Technology and Reliability for Advanced Interconnects and Low-k Dielectrics held at the 2003 MRS Spring Meeting Worsley, M. A., Bent, S. F., GATES, S. M., Kumar, K., Dalton, T., Hedrick, J. C. MATERIALS RESEARCH SOCIETY. 2003: 235–239
  • Reaction of cyclic aliphatic and aromatic amines on Ge(100)-2x1 and Si(100)-2x1 J. Phys. Chem. B Wang, G., T., Mui, C., Tannaci, J., F., Filler, M., A., Musgrave, C., B., Bent, S., F. 2003; 107: 4982
  • Microcontact printing on human tissue for retinal cell transplantation ARCHIVES OF OPHTHALMOLOGY Lee, C. J., Huie, P., Leng, T., Peterman, M. C., Marmor, M. F., Blumenkranz, M. S., Bent, S. F., Fishman, H. A. 2002; 120 (12): 1714-1718

    Abstract

    To demonstrate that microcontact printing, a modern materials fabrication technique, can be used to engineer the surface of human tissue and to show that inhibitory molecules can be used to pattern the growth of retinal pigment epithelial cells or iris pigment epithelial cells on human lens capsule for transplantation.Photolithographic techniques were used to fabricate photoresist-coated silicon substrates into molds. Poly(dimethylsiloxane)stamps for microcontact printing were made from these molds. The poly(dimethylsiloxane) stamps were then used to "wet-transfer" growth inhibitory molecules to the surface of prepared human lens capsules that were obtained during cataract surgery. Human retinal pigment epithelial and rabbit iris pigment epithelial cells were grown on a lens capsule substrate in the presence and absence of a patterned array of inhibitory factors.We found that human lens capsule could be microprinted with a precision similar to that obtained on glass or synthetic polymers. Retinal pigment epithelial cells and iris pigment epithelial cells cultured onto an untreated lens capsule showed spreading and formed into fusiform-appearing cells. In contrast, cells cultured on a lens capsule with a hexagonal micropattern of growth inhibitory molecules retained an epithelioid form within the inhibitory hexagons.Inhibitory growth molecules can be micropatterned onto human lens capsule, and these micropatterns can control the organization of retinal pigment epithelial cells or iris pigment epithelial cells cultured onto the lens capsule surface.Microprinting on autologous human tissue may facilitate efforts to effectively organize cell cultures and transplantations for the replacement of vital ocular tissues such as the retinal pigment epithelium in age-related macular degeneration.

    View details for PubMedID 12470147

  • Detecting reactive species in hot wire chemical vapor deposition CURRENT OPINION IN SOLID STATE & MATERIALS SCIENCE Duan, H. L., Zaharias, G. A., Bent, S. F. 2002; 6 (5): 471-477
  • Competition and selectivity of organic reactions on semiconductor surfaces: Reaction of unsaturated ketones on Si(100)-2x1 and Ge(100)-2x1 JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Wang, G. T., Mui, C., Musgrave, C. B., Bent, S. F. 2002; 124 (30): 8990-9004

    Abstract

    A combined experimental and theoretical study of a model system of multifunctional unsaturated ketones, including ethyl vinyl ketone (EVK), 2-cyclohexen-1-one, and 5-hexen-2-one, on the Si(100)-2 x 1 and Ge(100)-2 x 1 surfaces was performed in order to probe the factors controlling the competition and selectivity of organic reactions on clean semiconductor surfaces. Multiple internal reflection infrared spectroscopy data and density functional theory calculations indicate that EVK and 2-cyclohexen-1-one undergo selective [4 + 2] hetero-Diels-Alder and [4 + 2] trans cycloaddition reactions on the Ge(100)-2 x 1 surface at room temperature. In contrast, on the Si(100)-2 x 1 surface, evidence is seen for significant ene and possibly [2 + 2] C=O cycloaddition side products. The greater selectivity of these compounds on Ge(100) versus Si(100) is explained by differences between the two surfaces in both thermodynamic factors and kinetic factors. With 5-hexen-2-one, for which [4 + 2] cycloaddition is not possible, a small [2 + 2] C=C cycloaddition product is observed on Ge(100) and possibly Si(100), even though the [2 + 2] C=C transition state is calculated to be the highest barrier reaction by several kilocalories per mole. The results suggest that, due to the high reactivity of clean semiconductor surfaces, thermodynamic selectivity and control will play important roles in their selective functionalization, favoring the use of Ge for selective attachment of multifunctional organics.

    View details for DOI 10.1021/ja026330w

    View details for Web of Science ID 000177074400049

    View details for PubMedID 12137555

  • Proton transfer reactions on semiconductor surfaces JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Mui, C., Han, J. H., Wang, G. T., Musgrave, C. B., Bent, S. F. 2002; 124 (15): 4027-4038

    Abstract

    The concept of proton affinity on semiconductor surfaces has been explored through an investigation of the chemistry of amines on the Ge(100)-2 x 1, Si(100)-2 x 1, and C(100)-2 x 1 surfaces. Multiple internal reflection Fourier transform infrared (MIR-FTIR) spectroscopy, temperature programmed desorption (TPD), and density functional theory (DFT) calculations were used in the studies. We find that methylamine, dimethylamine, and trimethylamine undergo molecular chemisorption on the Ge(100)-2 x 1 surface through the formation of Ge-N dative bonds. In contrast, primary and secondary amines react on the Si(100)-2 x 1 surface via N-H dissociation. Since N-H dissociation of amines at semiconductor surfaces mimics a proton-transfer reaction, the difference in chemical reactivities of the Ge(100)-2 x 1 and Si(100)-2 x 1 surfaces toward N-H dissociation can be interpreted as a decrease of proton affinity down a group in the periodic table. The trend in proton affinities of the two surfaces is explained in terms of thermodynamics and kinetics. Solid-state effects on the C(100)-2 x 1 surface and the surface proton affinity concept are discussed based on our theoretical predictions.

    View details for DOI 10.1021/ja0171512

    View details for Web of Science ID 000175014000046

    View details for PubMedID 11942841

  • Attaching organic layers to semiconductor surfaces JOURNAL OF PHYSICAL CHEMISTRY B Bent, S. F. 2002; 106 (11): 2830-2842

    View details for DOI 10.1021/jp012995t

    View details for Web of Science ID 000174551500005

  • Organic functionalization of group IV semiconductor surfaces: principles, examples, applications, and prospects SURFACE SCIENCE Bent, S. F. 2002; 500 (1-3): 879-903
  • Effect of filament material on the decomposition of SiH4 in hot wire CVD of Si-based films Duan, H., L., Zaharias, G., A., Bent, S., F. 2002
  • Effect of filament material on the decomposition of SiH4 in hot wire CVD of Si-based films AMORPHOUS AND HETEROGENEOUS SILICON-BASED FILMS-2002 Duan, H. L., Zaharias, G. A., Bent, S. F. 2002; 715: 21-30
  • Example of a thermodynamically controlled reaction on a semiconductor surface: Acetone on Ge(100)-2 x 1 JOURNAL OF PHYSICAL CHEMISTRY B Wang, G. T., Mui, C., Musgrave, C. B., Bent, S. F. 2001; 105 (50): 12559-12565

    View details for DOI 10.1021/jp013058o

    View details for Web of Science ID 000172945700019

  • pi bond versus radical character of the diamond (100)-2 x 1 surface Taiwan Diamond 2000: Taiwan International Di amond and Related Materials Science and Technology Symposium RUSSELL, J. N., Butler, J. E., Wang, G. T., Bent, S. F., Hovis, J. S., Hamers, R. J., D'Evelyn, M. P. ELSEVIER SCIENCE SA. 2001: 147–51
  • The effect of filament temperature on the gaseous radicals in the hot wire decomposition of silane 1st International Conference on Cat-CVD (Hot Wire CVD) Process Duan, H. L., Zaharias, G. A., Bent, S. F. ELSEVIER SCIENCE SA. 2001: 36–41
  • Reactions of methylamines at the Si(100)-2x1 surface JOURNAL OF CHEMICAL PHYSICS Mui, C., Wang, G. T., Bent, S. F., Musgrave, C. B. 2001; 114 (22): 10170-10180
  • Effect of a methyl-protecting group on the adsorption of pyrrolidine on Si(100)-2 x 1 JOURNAL OF PHYSICAL CHEMISTRY B Wang, G. T., Mui, C., Musgrave, C. B., Bent, S. F. 2001; 105 (16): 3295-3299
  • Chemical engineering: Poised for progress CHEMICAL & ENGINEERING NEWS Bent, S. F. 2001; 79 (13): 58-58
  • Probing radicals in hot wire decomposition of silane using single photon ionization APPLIED PHYSICS LETTERS Duan, H. L., Zaharias, G. A., Bent, S. F. 2001; 78 (12): 1784-1786
  • The effect of a methyl protecting group on the adsorption of pyrrolidine on Si(100)-2x1 J. Phys. Chem. B Wang, G., T., Mui, C., Musgrave, C., B., Bent, S., F. 2001; 105: 3295
  • Identification of growth precursors in hot wire CVD of amorphous silicon films Duan, H., L., Zaharias, G., A., Bent, S., F. 2001
  • Temperature effects in the hot wire chemical vapor deposition of amorphous hydrogenated silicon carbon alloy JOURNAL OF APPLIED PHYSICS Lee, M. S., Bent, S. F. 2000; 87 (9): 4600-4610
  • Interaction of C-6 cyclic hydrocarbons with a Si(100)-2x1 surface: Adsorption and hydrogenation reactions JOURNAL OF PHYSICAL CHEMISTRY B Kong, M. J., Teplyakov, A. V., Jagmohan, J., Lyubovitsky, J. G., Mui, C., Bent, S. F. 2000; 104 (14): 3000-3007
  • A theoretical study of the structure and thermochemistry of 1,3-butadiene on the Ge/Si(100)-2 x 1 surface JOURNAL OF PHYSICAL CHEMISTRY A Mui, C., Bent, S. F., Musgrave, C. B. 2000; 104 (11): 2457-2462
  • Functionalization of diamond(100) by Diels-Alder chemistry JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Wang, G. T., Bent, S. F., RUSSELL, J. N., Butler, J. E., D'Evelyn, M. P. 2000; 122 (4): 744-745
  • Cycloaddition of cyclopentadiene and dicyclopentadiene on Si(100)-2x1: Comparison of monomer and dimer adsorption JOURNAL OF PHYSICAL CHEMISTRY B Wang, G. T., Mui, C., Musgrave, C. B., Bent, S. F. 1999; 103 (32): 6803-6808
  • Adsorption of ethylene on the Ge(100)-2 x 1 surface: Coverage and time-dependent behavior JOURNAL OF CHEMICAL PHYSICS Lal, P., Teplyakov, A. V., Noah, Y., Kong, M. J., Wang, G. T., Bent, S. F. 1999; 110 (21): 10545-10553
  • In situ diagnostics of methane/hydrogen plasma interactions with Si(100) Duan, H., L., Bent, S., F. 1999
  • NEXAFS studies of adsorption of benzene on Si(100)-2 x 1 SURFACE SCIENCE Kong, M. J., Teplyakov, A. V., Lyubovitsky, J. G., Bent, S. F. 1998; 411 (3): 286-293
  • Evidence for a retro-Diels-Alder reaction on a single crystalline surface: Butadienes on Ge(100) JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Teplyakov, A. V., Lal, P., Noah, Y. A., Bent, S. F. 1998; 120 (29): 7377-7378
  • Spectroscopic and thermal studies of a-SiC : H film growth: Comparison of mono-, tri-, and tetramethylsilane JOURNAL OF VACUUM SCIENCE & TECHNOLOGY A Lee, M. S., Bent, S. F. 1998; 16 (3): 1658-1663
  • Diels-Alder reactions of butadienes with the Si(100)-2x1 surface as a dienophile: Vibrational spectroscopy, thermal desorption and near edge x-ray absorption fine structure studies JOURNAL OF CHEMICAL PHYSICS Teplyakov, A. V., Kong, M. J., Bent, S. F. 1998; 108 (11): 4599-4606
  • Spectroscopic and thermal studies of a-SiC:H film growth: comparison of mono-, tri-, and tetramethylsilane J. Vac. Sci. Technol. A Lee, M., S., Bent, S., F. 1998; 16: 1658
  • Temperature-dependent studies of a-SiC:H growth by remote plasma CVD using methylsilanes Lee, M., S., Lal, P., Bent, S., F. 1998
  • Etching, insertion, and abstraction reactions of atomic deuterium with amorphous silicon hydride films JOURNAL OF PHYSICAL CHEMISTRY B Chiang, C. M., GATES, S. M., Lee, S. S., Kong, M., Bent, S. F. 1997; 101 (46): 9537-9547
  • Vibrational spectroscopic studies of Diels-Alder reactions with the Si(100)-2x1 surface as a dienophile JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Teplyakov, A. V., Kong, M. J., Bent, S. F. 1997; 119 (45): 11100-11101
  • Bonding and thermal reactivity in thin a-SiC:H films grown by methylsilane CVD JOURNAL OF PHYSICAL CHEMISTRY B Lee, M. S., Bent, S. F. 1997; 101 (45): 9195-9205
  • Bonding and thermal reactivity in thin a-SiC:H films grown by methylsilane CVD J. Phys. Chem. B Lee, M., S., Bent, S., F. 1997; B101: 9195
  • Infrared study of the reactions of atomic deuterium with amorphous silicon monohydride JOURNAL OF PHYSICAL CHEMISTRY Lee, S. S., Kong, M. J., Bent, S. F., Chiang, C. M., GATES, S. M. 1996; 100 (51): 20015-20020
  • Infrared spectroscopy of methyl groups on silicon CHEMICAL PHYSICS LETTERS Kong, M. J., Lee, K. S., Lyubovitsky, J., Bent, S. F. 1996; 263 (1-2): 1-7
  • Hydrogen recombinative desorption dynamics Laser Spectroscopy and Photochemistry on Metal Surfaces Bent, S., F., Michelsen, H., A., Zare, R., N. edited by Dai, H.-L., Ho, W. World Scientific, New Jersey. 1995
  • Synthesis, layer assembly, and fluorescence dynamics of poly(phenylene vinylene) oligomer phosphonates Katz, H., E., Shane (Bent), S., F., Wilson, W., L., Schilling, M., L., Ungashe, S., B. 1994
  • Synthesis, layer assembly, and fluorescence dynamics of poly(phenylene vinylene) oligomer phosphonates J. Am. Chem. Soc. Katz, H., E., Bent, S., F., Wilson, W., L., Schilling, M., L., Ungashe, S. 1994; 116: 6631
  • Structural characterization of self-assembled multilayers by FTIR Chem. Mater. Bent, S., F., Schilling, M., L., Wilson, W., L., Katz, H., E., Harris, A., L. 1994; 6: 122
  • Photoluminescence studies of self-assembled phenylene vinylene oligomer films Polym. Prepr. (Am. Chem. Soc., Div. Polym. Chem.) Shane (Bent), S., F., Wilson, W., L., Katz, H., E., Schilling, M., L., Ungashe, S. 1994; 35: 315
  • Structural studies of zirconium alkylphosphonate monolayers and multilayer assemblies Langmuir. Schilling, M., L., Katz, H., E., Stein, S., M., Shane (Bent), S., F., Wilson, W., L., Ungashe, S., B. 1993; 9: 2156
  • Control of polarity and supramolecular optical effects in rigid surface assemblies Polym. Prepr. (Am. Chem. Soc., Div. Polym. Chem.) Katz, H., E., Schilling, M., L., Ungashe, S., B., Shane (Bent), S., Scheller, G., Wilson, W., L. 1993; 34: 793
  • Recombinative desorption of H2 on Si(100)-(2x1) and Si(111)-(7x7): comparison of internal state distributions J. Chem. Phys Shane (Bent), S., F., Kolasinski, K., W., Zare, R., N. 1992; 97: 1520
  • Internal-state distributions of recombinative hydrogen desorption from Si(100) J. Chem. Phys Kolasinski, K., W., Shane (Bent), S., F., Zare, R., N. 1992; 96: 3995
  • Internal-state distributions of H2 desorbed from mono- and dihydride species on Si(100) J. Chem. Phys Shane (Bent), S., F., Kolasinski, K., W., Zare, R., N. 1992; 97: 3704
  • A state-specific study of hydrogen desorption from Si(100)-(2x1): comparison of disilane and hydrogen adsorption J. Vac. Sci. Technol Shane (Bent), S., F., Kolasinski, K., W., Zare, R., N. 1992; A10: 2287
  • Probing the dynamics of hydrogen recombination on Si(100) J. Chem. Phys Kolasinski, K., W., Shane, S., F., Zare, R., N. 1991; 95: 5482
  • Surface vibrational energy relaxation by coupling to electron-hole pairs: c(2x2)-CO/Cu(100) Shane (Bent), S., F., Rothberg, L., Dubois, L., H., Levinos, N., J., Morin, M., Harris, A., L 1990
  • Vibrational energy transfer to metal surfaces probed by sum generation: CO/Cu(100) and CH3S/Ag(111) J. Electron Spectrosc. Related Phenom Harris, A., L., Levinos, N., J., Rothberg, L., Dhar, L., H., Shane (Bent), S., F., Morin, M. 1990; 54/55: 5
  • Rotational population and alignment distributions for inelastic scattering and trapping/desorption of NO on Pt(111) J. Chem. Phys Jacobs, D., C., Kolasinski, K., W., Shane (Bent), S., F., Zare, R., N. 1989; 91: 3182
  • The electronic state-selective photodissociation of CH2BrI at 248, 210, and 193 nm J. Chem. Phys Butler, L., J., Hintsa, E., J., Shane (Bent), S., F., Lee, Y., T. 1987; 86: 2051
  • Ultrathin light absorbers based on plasmonic nanocomposites SPIE Newsroom Hägglund, C., Bent, S., F.

    View details for DOI 10.1117/2.1201309.005135